• 沒有找到結果。

Crown Capacitor Wet Etch Process

Chapter 3: Process Parameters and Analysis

3.2 Sample Preparation

3.2.1 Crown Capacitor Wet Etch Process

A wet bench tool, TEL UW300z (Figure 3.9) was chosen to perform the crown capacitor wet etch process. 49 wt% hydrogen fluoride (HF) with a temperature 20 degrees°C and circulation flow rate of around 23 liters/min is used to etch off ILD (Inter-Layer Dielectric). The main purpose of using 49 wt% HF is due to its high selectivity of various ILD films. The selectivity of Si3N4 to PSG is 1 to 65 tested on non-pattern film wafers. As a consequence of using pure HF solution, exposed ILD films are etched off completely; meanwhile partial amount of Si3N4 film will remain to support the cylinder capacitor. The remaining Si3N4 film is called the supporting- Si3N4 structure. The supporting- Si3N4 plays the important role of keeping the crown cylinders from collapsing (Figure 3.8 d ).

Figure 3.9 Wet bench TEL UW300z.

To be accessible with clean room facilities and equipment tank configuration, two conditions are designed in order to prepare a defect-less sample.

1. 49 wt% hydrogen fluoride (HF), 20 degrees°C, 20 liters/minute , 27 seconds  DI water rinse, 80 liters/minute, 600seconds  IPA 90 seconds + N2 300 seconds dry (as illustrated in Figure 3.9).

2. 49 wt% HF, 20 degrees°C, 20 liters/minute , 27 seconds  DI water rinse, 80 liters/minute, 600 seconds  1:200 NH4OH:H2O, Room Temperature, 600 seconds  IPA 90 seconds + N2 300 seconds dry (as illustrated in Figure 3.10).

Figure 3.10 Crown Wet Etch Process Condition 1.

48

Figure 3.11 Crown Wet Etch Process Condition 2.

Two experiment wafers, together with an extra twenty three pieces of dummy wafers that are put into the same cassette, are processed for each condition. These wafers are inspected after the crown capacitor wet etch treatment. The defect inspection tool used is the Applied Material defect tool Complus 3T which will be introduced later in Section 3.6.

From Table 3.1, two different defect maps appeared. The result of the defect inspection is divided into seven categories, which included a cluster type cylinder supporting Si3N4 peelings defect; cluster type particles; random distribution big peeling particles; random distribution small particles with size smaller than 0.5µ m;

random distribution organic type residues and penetration. The scanned defects are classified into these categories accordingly. Without NH4OH treatment, defects are worst on the wafer surface, especially near the wafer edge. Cluster particles which are

defined as having more than 100 particles, with a size larger than 0.1µ m per die, distribute most near the surface of the wafer edge. Then, without NH4OH treatment, wafers contain 400 percent more random small particles (particles with size smaller than 0.5µ m) than those with NH4OH treatment. Figure 3.12 shows the SEM image for particle categories that mentioned earlier.

Table 3.1 and Graph 3.1 show that wafers with NH4OH treatment have better performance than ones without. NH4OH solution has changed the zeta potential on the particles and the wafer surface, which enhances the effect of particle removal. In order to get a better wafer yield, wafers with NH4OH treatment were chosen for the next experiment.

Table 3.1 Defect counts summary for condition 1 and condition 2.

Lot Slot MAX599 #10 MAX99 #20 MAX599 #6 MAX599 #7

Defect Map

Cluster supporter SiN collapse 7 6 60 42

Cluster Particle Defect 100 60 0 0

Random Big Peeling 265 309 357 141

Random Small Particle 1552 2092 396 462

Random Residue 227 295 278 100

Penetration 10 0 12 6

Without NH4OH NH4OH 600sec

3S Crown Wet Etch Condition 49% HF 27"

50

Graph 3.1 Defect counts for condition 1 and condition 2.

(a) (b) (c) (d)

Figure 3.12 (a) cylinder supporter collapse (b) small particle (<0.5μm) (c) big particle (>0.5μm) (d) residue. (SEM 10K magnification)

3.3 Experimental Design: Single Wafer Type Tool

The surface material of the specimen exposed is mainly Titanium, therefore, strong oxidation chemicals and concentrated acids are avoided. The most noted chemical property of titanium is its excellent resistance to corrosion, capable of withstanding attack by dilute sulfuric acid and hydrochloric acid as well as chlorine gas, chloride solutions, and most organic acids. Thus, dilute chemicals are targeted. And in order to be compatible with Perfluoroalkoxy (PFA) copolymer resin material in SEZ DV38-DS experimental tool, chemicals EKC6800 and Rezi-38 are chosen to be investigated. The single-wafer spin processor rinses from the wafer center to the edge, there are fewer inherent defectivity transfer pathway.

3.3.1 Chemical Selection : 3.3.1.1 EKC6800 [5]

EKC technology, Inc., part of DuPont Electronic and Communications, is the leading manufacturer of patent specialty chemicals used in the manufacturing of wafers for the semiconductor and related industries. EKC6800, one of the chemicals used in the Back End of Line (BEOL) for advanced interconnect applications was chosen in this experiment. EKC6800 contains 55~85 percent of N,N-Dimethylacetamide and 0~5 percent of Ammonium bifluoride, and with a pH value varied from 6 to 8. The main reason for this chemical being chosen is that, the contained fluoride can react with polymer residues. Polymer residues are then reformed into a low molecular structure that is soluble in water.

52

3.3.1.2 Rezi-38 [6]

Rezi-38, a product of Baker Incorporate, is an aqueous ash residue remover, which effectively cleans a wide variety of metal-organic residues from substrates, including sensitive metal alloys like copper and low k dielectrics. With a pH around 13, this product is 80 percent aqueous, which requires no special rinse and is effective at room temperatures (as low as 23 degrees°C). In addition, Rezi-38’s aqueous composition also offers a variety of environmental benefits. It does not contain SARA 313[7]

specified components or hazardous air pollutants (HAPs), and has a less than 5 percent concentration of volatile organic compounds (VOCs). In addition, the high water content of the product allows easier disposition that is environment free. Table 3.2 below compares Rezi-38 and EKC6800.

Table 3.2 Comparison of REZI-38 and EKC 6800 chemicals.

54

3.4 Experimental Design: Batch Type Process Tool

As stated above, the majority of defect particles distributed are Titanium rich compounds. We targeted a few chemicals that are compatible with the equipment material, that is stainless steel SUS 316 that has a good resistance to heat and corrosion : EKC265[8], ELM C30[9] and EcoPeeler [10].

3.4.1 Chemical Selection : EKC265, ELM C30 & EcoPeeler 3.4.1.1 EKC265 [8]

Also invented by EKC technology, Inc., EKC265 is the initial product of Dupont™

PlasmaSolv® series formulated to remove photoresist residue generated after via and metal etch processes. EKC265 post-etch residue removers are made with Hydroxylamine (HDA) high performance hydroxylamine-based cleaning technology.

HDA technology comprises aqueous organic mixtures formulated to effectively remove residues from substrate surfaces after via, poly and metal etch processes used in the microelectronics industry.

3.4.1.2 ELM C30 [9]

A product of Mitsubishi Gas Chemicals, the ELM series cleaning chemical specialize in cleaning and removing etch residue with inorganic chemicals. However, ELM C30 contains Dimethylformamide (DMF) as a chemical solvent. Dimethylformamide is the organic compound with the formula (CH3)2NC(O)H which is a common solvent for chemical reactions, unfortunately, this is always linked to cancer in humans, and is also thought to cause birth defects.

3.4.1.3 EcoPeeLer[10]

EcoPeeler is a transparent and inorganic chemical which does not contain any HDA (Hydroxylamine), hazardous, toxic or organic solvents. A product of Fine Polymer, the pH of EcoPeeler can be controlled to required levels so as to be comparable with various processes. It is also a cost effective chemical as there is no necessity for additional facilities or cost associated with its disposal. But most important of all, EcoPeeler is eco-friendly and provide a low CoO (Cost of Ownership) advantage.

Table 3.3 summarizes the differences between these three chemicals for batch type spray process tool.

56

Table 3.3 Comparison of batch type spray tool chemical.

Chemical EKC265 ELM C30 EcoPeeler

Maker Dupont MGC Fine Polymers

3.5 Process Variables Investigated

A lot of aqueous chemistries are available for cleaning wafers; their effectiveness in providing a contamination-free surface depends upon a number of variables, including the sequence of chemistries used, the ratio of the chemicals, the processing temperature, the age of the solution, etc. However, we will only focus on three variables in this work, including both chemical reactions and physical reactions.

1. Chemical dependency.

2. Chemical process time dependency.

3. Rotation speed dependency.

3.6 Detection and Analysis of Particle Defects

In order to detect particles adhered to the surface of a specimen, the defect inspection tool by Applied Material, Complus 3T was used (Figure 3.13). This wafer surface inspection equipment utilizes the dissolution phenomenon of the direct polarized light component of the laser, which can distinguish scattered light from a particle and scattered light from a pattern edge. Furthermore, by utilizing the regularity of repeated circuit patterns, the diffracted light from the patterns can be spectroscopically blocked using a space filter [11]. There is a method developed in Complus 3T which eliminates detection signals from circuit patterns by comparing the scattering signals from adjacent chips and then subtracting these signals (Figure 3.14). In this work , a die-to-die pattern image comparison with a random mode settings and 100% step coverage recipe is setup to inspect the result.

58

Figure 3.13 Schematic of detectors chamber of Applied Materials Complus 3T.

Figure 3.14 Detection signals eliminates from circuit patterns by comparing the scattering signals.

References

1. Nahomi Aoto, NEC, "Goals for Next-Generation Wafer Cleaning Technology, From the Viewpoint of Wafer Surface Conditioning", Ultraclean Surface Processing of Silicon Wafer, Springer, Ed. 1998, Japan.

2. Jae Yong Park, Han-Mil Kim etc. , "Single-wafer process for improved metal contact hole cleaning", Solid State Technology, volume 134, pp.177-180, 2007.

3. Jun Sugiura, "Influence of Contaminants on Device Characteristics",

Ultraclean Surface Processing of Silicon Wafers, Springer, Ed(1998), Japan.

4. Jeffery W.Butterbaugh, FSI international, “Wafer Cleaning Techniques For Meeting the Challenges of Advanced Semiconductor Manufacturing”,

Semiconductor Manufacturing Magazine, Vol.7, Issue 5, pp. 33-36 May 2006.

5. EKC6800 product sheet, EKC Technology, Inc., Hayward, CA94545, November 2006, http://www2.dupont.com (6 November 2006).

6. Rezi-38 product sheet, Mallinckrodt Baker, Inc., Phillipsburg, NJ 08865, November 2006, http://www.mallbaker.com (11 November 2006).

7. Tatarian, S., SARA 313 Toxic Release Inventory, in III, IHS, Editor. 2009: U.S.

Environmental Protection Agency (EPA) - United States.

8. EKC265 product sheet, EKC Technology, Inc., Hayward, CA94545, November 2006, http://www2.dupont.com (6 November 2006).

9. ELM C30 B11 product sheet, Mitsubishi Gas Chemical Company, Inc, Chiyoda-ku, Tokyo 100, Japan, http://www.mgc.co.jp (13 April 2007).

10. EcoPeeler Y101 product sheet, Fine Polymers Corporation, Chiba Prefecture 270-0216, Japan, http://finepolymers.com/ecopeeler-concept (29 Feb 2008).

11. Hattori, T., "Detection and Analysis of Particles in Production Lines",

60

Ultraclean Surface Processing of Silicon Wafers ,Springer, Ed. (1998), Japan.

Chapter 4: Impact of Process Variables Towards Wafer Surface Cleaning

The main variables in semiconductor device production are process technologies such as film deposition, photolithography, and etching. However, without the wet process which supports these three essential steps, semiconductor devices cannot be manufactured. In the previous Chapter, specimens with a crown capacitor structure were prepared. The wafers after the crown capacitor wet treatment are covered with big sheet particles and residues. These particulates defects and residues are proven to have a high impact on yield loss. Thus, a cleaning step has to be added in order to remove all these by-products. The following topic will discuss various cleaning process variables, to find which will have the highest particle removal ability, and in addition, without any side-effects like penetration and pattern collapse.

4.1 Introduction to Experimental Design

The requirement for an “ultraclean Si wafer surface” on which ultra-fine patterns are fabricated is defined as a surface which satisfies the following eight requirements [1].

1. Free from particles.

2. Free from metallic impurities.

3. Free from organic impurities.

4. Free from unintended native oxide.

5. Maintaining atomic-order “microroughness”.

6. A top surface completely terminated with hydrogen.

7. Free from moisture absorption.

8. Free from charge-up.

62

However, the Si wafer goes through a wet cleaning process before and after each cycle of film deposition, photolithography, and then etching steps. The requirement for a clean wafer surface varies according to the device and its structure. In this work, the wafer surface is covered with crown capacitor, thus the requirement for its cleanliness is targeted as below.

1. Free from big sheet particles > 1μm.

2. Free from residues / organic impurities.

3. Free from unintended native oxide.

4. No pattern penetration or pattern collapse.

5. Free from random and cluster type particles > 0.5μm.

6. No water mark form during drying process.

This study approach includes three phases of analysis with four controllable factors.

These factors will be assessed in the following sections: chemical dependency (4.1.1), chemical and intermediate rinse process time dependency (4.1.2) and rotation speed dependency (4.1.3). Result of these phases is discussed accordingly in each section.

Then, result and discussion is elaborated in section 4.2, chemical reaction and physical reaction of each phase will be analyzed and discussed. A final optimized condition model is setup in section 4.3. At last, to ensure the feasible of mass production, reproducibility test is performed for the optimum condition in section 4.4.

4.1.1 Chemistries Dependency On Crown Capacitor Particle Defects

Five ready-to-use chemical solutions are assessed in this work. These solutions contain chemistries that are effective in removing residual photoresist, post-etch, and ash residues. The typical chemical cleaning sequence for each chemical in this work

was depicted as below. wafer type tool, SEZ DV 38 DS. A defect inspection is conducted within 2 hours after the wafer cleaning treatment, in order to avoid time effects on wafers, which might confuse the experiment result. Particles generated from the waiting hours are basically ignored in this discussion. Another wafer piece without any cleaning treatment will also be inspected to check the baseline of defects.

Some process steps are different for each chemical, due to the chemical’s characteristics itself, and the limitation of the process tool applied. As for an HDA-based chemical like EKC265, the residual HDA mixed with water will release [OH-] and induce metal corrosion. The purpose of an Isopropanol (IPA) rinse after the EKC265 step is to dilute HDA concentration and reduce the [OH-] amount to minimize metal loss. For the chemical EKC265, ELM C30 and EcoPeeler, IPA drying is used; meanwhile N2drying is applied on EKC6800 and Rezi38 due to the single wafer tool limitation.

64

4.1.1.1 Experimental Result

The result of this experiment is inspected by the AMAT Complus 3T tool. This work only focuses on the killer defects, which are bigger than 0.5μm The defects of interest have been categorized into four classifications according to size, shape and component.

1. Random size residues (organic type particles with various shapes).

2. Random sheet type peeling particles (>1 μm).

3. Random type particles (>0.5 μm).

4. Penetration or cylinder collapse.

Table 4.1, it can be seen that EKC6800 and Rezi38 has penetrated the guard ring oxide due to the cylinder collapse. This kind of cylinder collapse has a serious yield impact, thus the first priority of the whole work is to avoid cylinder collapses. For the organic residues, Rezi38 has the highest distribution over the wafer, proof that the removability of Rezi38 is relatively difficult for the residues generated after the crown wet capacitor process. Meanwhile EKC265 has the lowest distribution for organic residues.

As for the Titanium rich big sheet particles (Figure 4.1), EKC265 and EcoPeeler have the lowest counts; meanwhile EKC6800 and Rezi38 have the highest. The same is prone to happen to particles larger than 0.5 μm too. EKC265 performance is the best (Graph 4.1). Thus, the chemical EKC265 was chosen for the next process time dependency experiment.

Table 4.1 Defect analysis for chemical dependency on crown wet particles.

66

Graph 4.1 Defect analysis for chemical dependency on crown wet particles.

(a) (b)

Figure 4.1 (a) Sheet particle (SEM magnification 5K) (b) residue (SEM magnification 10K).

4.1.2 Chemical Process Time Dependency On Crown Capacitor Particle Defects

In this section, we chose the chemical with the lowest particle defect count for all four defects categories from the results in chapter 4.1.1; that is, EKC265. For EKC265, a HDA-based chemical, an intermediate rinse with IPA is needed. Thus, there are two crown capacitor particle defects will be checked. The following conditions are investigated: served as a reducing agent. If HDA reacts with water directly, a strong alkali solution will be formed and corrode the metal. The following conditions are tested:

1. without treatment.

68 whereas with EKC265 treatment time varies, in the second experiment, we fixed the EKC265 process time to 12 minutes and varied the IPA process time.

From Figure 4.2, it is observed that treatment with EKC265 is very efficient at removing organic type residue defects. This scatter plot shows a trend of decreasing residue amount according to EKC265 treatment time. EKC265 with a treatment time above 3 minutes can remove at least 80% of the residue adhered on wafers. On the other hand, IPA also contributes to the removal ability of organic residue, but only in small amounts. However, decreasing IPA time does not affect the residue defect much.

Without EKC265 treatment, a long IPA treatment time of 12 minutes is useless. Most residues still remain on the wafer surface without EKC265 treatment, with or without IPA rinse.

Figure 4.3 and Figure 4.4 show that for big sheet particles bigger than 1 μm and random size particles bigger than 0.5μm, their defect count is inversely proportional to the EKC265 treatment time. In contrast, IPA treatment time seems to have no impact on the defect count. This proves that the reduction of IPA treatment time does not cause an increment in total amount of particle defects. Therefore, the impact on

IPA rinse time for particle removal can be ignored.

In Figure 4.5, the pattern collapse amount increased significantly with EKC265 process time, however, the result is irrelevant to the IPA process time. It can be concluded that IPA only usefully functions as an intermediate rinse for chemical EKC265, and does not influence any particle or residues removal.

From Figure 4.2 to 4.5, we chose the best condition from the result of experiment 4.1.2.1: (EKC265 6 minutes and IPA 6 minutes) to check the physical reaction impact on particles defects for the next experiment.

70

Table 4.2 Defect analysis for chemical process time dependency on crown wet particles.

Table 4.3 Defect analysis for IPA process time dependency on crown wet particles.

72

Graph 4.2 Defect analysis for chemical process time dependency on crown wet particles.

Graph 4.3 Defect analysis for IPA process time dependency on crown wet particles.

Figure 4.2 Scatter plot for residue counts versus EKC265 and IPA process time variation.

Figure 4.3 Scatter plot for big sheet particles counts versus EKC265 and IPA time variation.

74

Figure 4.4 Scatter plot for particles > 0.5μm counts versus EKC265 and IPA time variation.

Figure 4.5 Scatter plot for pattern collapse counts versus EKC265 and IPA time variation.

4.1.3 Chemical Rotation Speed Dependency On Crown Capacitor Particle Defects

Section 4.1.2 thoroughly reviews the impact of EKC265 and IPA process time on particle defects. This section will apply EKC265 6min and IPA 6 min as the center condition due to its lowest particle defects distribution. The purpose of this section is to examine the dependency of chemical rotation speed on the crown capacitor particles. The following rotation speed is analysed.

1. EKC265 6 minutes, hybrid rotation speed 35 rpm/350 rpm  IPA rinse 6 minutes

 IPA dry.

2. EKC265 6 minutes, hybrid rotation speed 35 rpm/500 rpm  IPA rinse 6 minutes

 IPA dry.

3. EKC265 6 minutes, hybrid rotation speed 35 rpm/650 rpm  IPA rinse 6 minutes

 IPA dry.

4. EKC265 6 minutes, hybrid rotation speed 35 rpm/800 rpm  IPA rinse 6 minutes

 IPA dry.

4.1.3.1 Experimental Result

Notably, the wafer treated with a hybrid rotation speed of 35/650 rpm has the lowest big sheet particles and random type particle defects, as shown in Table 4.4, Graph 4.4, and Figure 4.6. Interestingly, the particle count appears to be inversely proportional with rotation speeds in the range of 350rpm and 650 rpm, and then became proportional for 800 rpm. We will elucidate more in the next section.

76

Table 4.4 Chemical rotation speed dependency on crown capacitor particle defects.

Graph 4.4 Chemical rotation speed dependency on crown capacitor particle defects.

Figure 4.6 Rotation speed dependency on big sheet peeling particles and random type particles.

78

4.2 Discussion

Particle removal methods are generally divided into two categories: wet chemical procedures and mechanical techniques. Wet chemical methods are the traditional ways that performed cleaning via surface etching. Mechanical techniques clean through the application of a hydrodynamic force or other physical force. This work shows the greatest promise since we have the greatest potential to combine mechanical and chemical effects advantageously.

The particles distributed over the wafer comprises mainly of the compound of titanium oxide, carbon rich residues and silicon dioxide (as explained in the previous chapter two). In this section, a comprehensive understanding for both chemical and

EKC6800[3], a ready-to-use semi-aqueous solution from EKC Technology, Inc,

EKC6800[3], a ready-to-use semi-aqueous solution from EKC Technology, Inc,

相關文件