• 沒有找到結果。

Detection and Analysis of Particle Defects

Chapter 3: Process Parameters and Analysis

3.6 Detection and Analysis of Particle Defects

In order to detect particles adhered to the surface of a specimen, the defect inspection tool by Applied Material, Complus 3T was used (Figure 3.13). This wafer surface inspection equipment utilizes the dissolution phenomenon of the direct polarized light component of the laser, which can distinguish scattered light from a particle and scattered light from a pattern edge. Furthermore, by utilizing the regularity of repeated circuit patterns, the diffracted light from the patterns can be spectroscopically blocked using a space filter [11]. There is a method developed in Complus 3T which eliminates detection signals from circuit patterns by comparing the scattering signals from adjacent chips and then subtracting these signals (Figure 3.14). In this work , a die-to-die pattern image comparison with a random mode settings and 100% step coverage recipe is setup to inspect the result.

58

Figure 3.13 Schematic of detectors chamber of Applied Materials Complus 3T.

Figure 3.14 Detection signals eliminates from circuit patterns by comparing the scattering signals.

References

1. Nahomi Aoto, NEC, "Goals for Next-Generation Wafer Cleaning Technology, From the Viewpoint of Wafer Surface Conditioning", Ultraclean Surface Processing of Silicon Wafer, Springer, Ed. 1998, Japan.

2. Jae Yong Park, Han-Mil Kim etc. , "Single-wafer process for improved metal contact hole cleaning", Solid State Technology, volume 134, pp.177-180, 2007.

3. Jun Sugiura, "Influence of Contaminants on Device Characteristics",

Ultraclean Surface Processing of Silicon Wafers, Springer, Ed(1998), Japan.

4. Jeffery W.Butterbaugh, FSI international, “Wafer Cleaning Techniques For Meeting the Challenges of Advanced Semiconductor Manufacturing”,

Semiconductor Manufacturing Magazine, Vol.7, Issue 5, pp. 33-36 May 2006.

5. EKC6800 product sheet, EKC Technology, Inc., Hayward, CA94545, November 2006, http://www2.dupont.com (6 November 2006).

6. Rezi-38 product sheet, Mallinckrodt Baker, Inc., Phillipsburg, NJ 08865, November 2006, http://www.mallbaker.com (11 November 2006).

7. Tatarian, S., SARA 313 Toxic Release Inventory, in III, IHS, Editor. 2009: U.S.

Environmental Protection Agency (EPA) - United States.

8. EKC265 product sheet, EKC Technology, Inc., Hayward, CA94545, November 2006, http://www2.dupont.com (6 November 2006).

9. ELM C30 B11 product sheet, Mitsubishi Gas Chemical Company, Inc, Chiyoda-ku, Tokyo 100, Japan, http://www.mgc.co.jp (13 April 2007).

10. EcoPeeler Y101 product sheet, Fine Polymers Corporation, Chiba Prefecture 270-0216, Japan, http://finepolymers.com/ecopeeler-concept (29 Feb 2008).

11. Hattori, T., "Detection and Analysis of Particles in Production Lines",

60

Ultraclean Surface Processing of Silicon Wafers ,Springer, Ed. (1998), Japan.

Chapter 4: Impact of Process Variables Towards Wafer Surface Cleaning

The main variables in semiconductor device production are process technologies such as film deposition, photolithography, and etching. However, without the wet process which supports these three essential steps, semiconductor devices cannot be manufactured. In the previous Chapter, specimens with a crown capacitor structure were prepared. The wafers after the crown capacitor wet treatment are covered with big sheet particles and residues. These particulates defects and residues are proven to have a high impact on yield loss. Thus, a cleaning step has to be added in order to remove all these by-products. The following topic will discuss various cleaning process variables, to find which will have the highest particle removal ability, and in addition, without any side-effects like penetration and pattern collapse.

4.1 Introduction to Experimental Design

The requirement for an “ultraclean Si wafer surface” on which ultra-fine patterns are fabricated is defined as a surface which satisfies the following eight requirements [1].

1. Free from particles.

2. Free from metallic impurities.

3. Free from organic impurities.

4. Free from unintended native oxide.

5. Maintaining atomic-order “microroughness”.

6. A top surface completely terminated with hydrogen.

7. Free from moisture absorption.

8. Free from charge-up.

62

However, the Si wafer goes through a wet cleaning process before and after each cycle of film deposition, photolithography, and then etching steps. The requirement for a clean wafer surface varies according to the device and its structure. In this work, the wafer surface is covered with crown capacitor, thus the requirement for its cleanliness is targeted as below.

1. Free from big sheet particles > 1μm.

2. Free from residues / organic impurities.

3. Free from unintended native oxide.

4. No pattern penetration or pattern collapse.

5. Free from random and cluster type particles > 0.5μm.

6. No water mark form during drying process.

This study approach includes three phases of analysis with four controllable factors.

These factors will be assessed in the following sections: chemical dependency (4.1.1), chemical and intermediate rinse process time dependency (4.1.2) and rotation speed dependency (4.1.3). Result of these phases is discussed accordingly in each section.

Then, result and discussion is elaborated in section 4.2, chemical reaction and physical reaction of each phase will be analyzed and discussed. A final optimized condition model is setup in section 4.3. At last, to ensure the feasible of mass production, reproducibility test is performed for the optimum condition in section 4.4.

4.1.1 Chemistries Dependency On Crown Capacitor Particle Defects

Five ready-to-use chemical solutions are assessed in this work. These solutions contain chemistries that are effective in removing residual photoresist, post-etch, and ash residues. The typical chemical cleaning sequence for each chemical in this work

was depicted as below. wafer type tool, SEZ DV 38 DS. A defect inspection is conducted within 2 hours after the wafer cleaning treatment, in order to avoid time effects on wafers, which might confuse the experiment result. Particles generated from the waiting hours are basically ignored in this discussion. Another wafer piece without any cleaning treatment will also be inspected to check the baseline of defects.

Some process steps are different for each chemical, due to the chemical’s characteristics itself, and the limitation of the process tool applied. As for an HDA-based chemical like EKC265, the residual HDA mixed with water will release [OH-] and induce metal corrosion. The purpose of an Isopropanol (IPA) rinse after the EKC265 step is to dilute HDA concentration and reduce the [OH-] amount to minimize metal loss. For the chemical EKC265, ELM C30 and EcoPeeler, IPA drying is used; meanwhile N2drying is applied on EKC6800 and Rezi38 due to the single wafer tool limitation.

64

4.1.1.1 Experimental Result

The result of this experiment is inspected by the AMAT Complus 3T tool. This work only focuses on the killer defects, which are bigger than 0.5μm The defects of interest have been categorized into four classifications according to size, shape and component.

1. Random size residues (organic type particles with various shapes).

2. Random sheet type peeling particles (>1 μm).

3. Random type particles (>0.5 μm).

4. Penetration or cylinder collapse.

Table 4.1, it can be seen that EKC6800 and Rezi38 has penetrated the guard ring oxide due to the cylinder collapse. This kind of cylinder collapse has a serious yield impact, thus the first priority of the whole work is to avoid cylinder collapses. For the organic residues, Rezi38 has the highest distribution over the wafer, proof that the removability of Rezi38 is relatively difficult for the residues generated after the crown wet capacitor process. Meanwhile EKC265 has the lowest distribution for organic residues.

As for the Titanium rich big sheet particles (Figure 4.1), EKC265 and EcoPeeler have the lowest counts; meanwhile EKC6800 and Rezi38 have the highest. The same is prone to happen to particles larger than 0.5 μm too. EKC265 performance is the best (Graph 4.1). Thus, the chemical EKC265 was chosen for the next process time dependency experiment.

Table 4.1 Defect analysis for chemical dependency on crown wet particles.

66

Graph 4.1 Defect analysis for chemical dependency on crown wet particles.

(a) (b)

Figure 4.1 (a) Sheet particle (SEM magnification 5K) (b) residue (SEM magnification 10K).

4.1.2 Chemical Process Time Dependency On Crown Capacitor Particle Defects

In this section, we chose the chemical with the lowest particle defect count for all four defects categories from the results in chapter 4.1.1; that is, EKC265. For EKC265, a HDA-based chemical, an intermediate rinse with IPA is needed. Thus, there are two crown capacitor particle defects will be checked. The following conditions are investigated: served as a reducing agent. If HDA reacts with water directly, a strong alkali solution will be formed and corrode the metal. The following conditions are tested:

1. without treatment.

68 whereas with EKC265 treatment time varies, in the second experiment, we fixed the EKC265 process time to 12 minutes and varied the IPA process time.

From Figure 4.2, it is observed that treatment with EKC265 is very efficient at removing organic type residue defects. This scatter plot shows a trend of decreasing residue amount according to EKC265 treatment time. EKC265 with a treatment time above 3 minutes can remove at least 80% of the residue adhered on wafers. On the other hand, IPA also contributes to the removal ability of organic residue, but only in small amounts. However, decreasing IPA time does not affect the residue defect much.

Without EKC265 treatment, a long IPA treatment time of 12 minutes is useless. Most residues still remain on the wafer surface without EKC265 treatment, with or without IPA rinse.

Figure 4.3 and Figure 4.4 show that for big sheet particles bigger than 1 μm and random size particles bigger than 0.5μm, their defect count is inversely proportional to the EKC265 treatment time. In contrast, IPA treatment time seems to have no impact on the defect count. This proves that the reduction of IPA treatment time does not cause an increment in total amount of particle defects. Therefore, the impact on

IPA rinse time for particle removal can be ignored.

In Figure 4.5, the pattern collapse amount increased significantly with EKC265 process time, however, the result is irrelevant to the IPA process time. It can be concluded that IPA only usefully functions as an intermediate rinse for chemical EKC265, and does not influence any particle or residues removal.

From Figure 4.2 to 4.5, we chose the best condition from the result of experiment 4.1.2.1: (EKC265 6 minutes and IPA 6 minutes) to check the physical reaction impact on particles defects for the next experiment.

70

Table 4.2 Defect analysis for chemical process time dependency on crown wet particles.

Table 4.3 Defect analysis for IPA process time dependency on crown wet particles.

72

Graph 4.2 Defect analysis for chemical process time dependency on crown wet particles.

Graph 4.3 Defect analysis for IPA process time dependency on crown wet particles.

Figure 4.2 Scatter plot for residue counts versus EKC265 and IPA process time variation.

Figure 4.3 Scatter plot for big sheet particles counts versus EKC265 and IPA time variation.

74

Figure 4.4 Scatter plot for particles > 0.5μm counts versus EKC265 and IPA time variation.

Figure 4.5 Scatter plot for pattern collapse counts versus EKC265 and IPA time variation.

4.1.3 Chemical Rotation Speed Dependency On Crown Capacitor Particle Defects

Section 4.1.2 thoroughly reviews the impact of EKC265 and IPA process time on particle defects. This section will apply EKC265 6min and IPA 6 min as the center condition due to its lowest particle defects distribution. The purpose of this section is to examine the dependency of chemical rotation speed on the crown capacitor particles. The following rotation speed is analysed.

1. EKC265 6 minutes, hybrid rotation speed 35 rpm/350 rpm  IPA rinse 6 minutes

 IPA dry.

2. EKC265 6 minutes, hybrid rotation speed 35 rpm/500 rpm  IPA rinse 6 minutes

 IPA dry.

3. EKC265 6 minutes, hybrid rotation speed 35 rpm/650 rpm  IPA rinse 6 minutes

 IPA dry.

4. EKC265 6 minutes, hybrid rotation speed 35 rpm/800 rpm  IPA rinse 6 minutes

 IPA dry.

4.1.3.1 Experimental Result

Notably, the wafer treated with a hybrid rotation speed of 35/650 rpm has the lowest big sheet particles and random type particle defects, as shown in Table 4.4, Graph 4.4, and Figure 4.6. Interestingly, the particle count appears to be inversely proportional with rotation speeds in the range of 350rpm and 650 rpm, and then became proportional for 800 rpm. We will elucidate more in the next section.

76

Table 4.4 Chemical rotation speed dependency on crown capacitor particle defects.

Graph 4.4 Chemical rotation speed dependency on crown capacitor particle defects.

Figure 4.6 Rotation speed dependency on big sheet peeling particles and random type particles.

78

4.2 Discussion

Particle removal methods are generally divided into two categories: wet chemical procedures and mechanical techniques. Wet chemical methods are the traditional ways that performed cleaning via surface etching. Mechanical techniques clean through the application of a hydrodynamic force or other physical force. This work shows the greatest promise since we have the greatest potential to combine mechanical and chemical effects advantageously.

The particles distributed over the wafer comprises mainly of the compound of titanium oxide, carbon rich residues and silicon dioxide (as explained in the previous chapter two). In this section, a comprehensive understanding for both chemical and

EKC6800[3], a ready-to-use semi-aqueous solution from EKC Technology, Inc, contains Ammonium Fluoride that can dissociate into ammonium and fluoride ions, and setting up a complex series of equilibrium’s, as shown below.

.

The three potential active species were HF, HF2-is and F- . In this solution, the

dominant species is F-. F- reacts with polymer residue on the wafer and reformed.

(TiF6)2- is water soluble, so during the water rinse step polymer residue can be removed (Equation 4-7). Meanwhile, the oxide-like polymer residues react with HF and form a water soluble compound H2[SiF6] . The water rinse step then removes the soluble compound (Equation 4-8). HF2

is barely involved in the reaction with EKC6800 pH, hence, SiO2 like material loss is minimumal.

NH4F  NH4+

Figure 4.7 Schematic of HF, HF2

and F- concentration relatives to pH [2].

80 concentration of Titanium (Ti). For Ti rich particles, hydrogen peroxide (H2O2) is the only chemical (other than hydroxylamine or fluoride) identified to date that will effectively remove post-etch residues with a high titanium content without damaging the exposed metal or dielectric. The mechanism of dissolution of the Ti-rich residues is not well understood, however, it may proceed according to one of the oxidation reaction above (Equation 4-11) & (Equation 4-12). In chemical reaction (Equation 4-11), a water-soluble-complex [Ti(OH)3O2]- formed, meanwhile in reaction (Equation 4-12), Ti3+ could be Ti(F)3 or TiN or Ti2O3.

As for other types of Si-rich particles, water soluble [SiO2(OH2)]2- complex formed.

For carbon rich particles or polymers, OH- and HO2

react with alkyl compound and formed RCOO- (Equation 4-12). Both [SiO2(OH2)]2- and RCOO- complex can be removed by the next water rinse step.

Figure 4.8 Cleaning Mechanism of Rezi38.

REZI-38 contains one or more chelating or complexing agents. These agents can sequestrate the dissolved metallic residue to prevents it’s re-deposition on the wafer.

Also, there were one or more metal corrosion inhibitors in REZI-38 that can help prevents excessive attack of the metal by the hydroxide ion.

Hinges Table 4.1 and Graph 4.1 verify that EKC6800 has a better organic residue removal ability than REZI-38 for the single wafer tool chemical category. Meanwhile, there is no difference for Ti rich big sheet particle removal rate.

82

4.2.1.3 EKC265

As for EKC265[5] , another semi-aqueous solution from EKC Technology, Inc, Hydroxylamine served as a reducing agent. Metal ions are reduced into lower oxidation states and formed a more stable soluble complex. The attack mechanism is a two step reaction starting with the formation of hydroxyl ions, when the amine component in the stripper is hydrolyzed with water (Equation 4-15).

RNH2 + H2O  RNH3+ + OH- (Equation 4-15) Amine plays several assisting roles in EKC265. First, it can act as a solvent, extremely effective at removing organic materials. Also, amine assists in keeping the soluble residues in solution so that they can be carried away from the water surface. It also creates hydroxide ions, OH-: these species aid in the dissolution of post-etch residues. HDA removes resist by a process of penetrating, swelling, and reducing Van Der Waal forces. The solvent molecules solvate the polymer molecule and overcome the attractive forces that hold the polymer together.

After the crown capacitor etching, we believe that some metal oxides, e.g. TiO2, TiO would be formed and left behind. Other stable metal halides, such as TiF3 also remain on the wafer surface. These salts and oxides are insoluble in water, dilute acids, or bases, but they are removed in HDA solutions. Reduction of these metallic species and subsequent formations of chelating complexes play a role in the removal of metal oxides residues. Based on the oxidation/reduction potentials, the metallic species that can be reduced by hydroxylamine are listed in Table 4.5. [8]

The combination of HDA and an organic amine form a strong reducing and

complexing (ligating) solution. The insoluble metal oxide could be reduced to a lower oxidation state and subsequently chelated with the ligand to form a more soluble metal complex which could ultimately end up in the solution. The proposed mechanism of reduction, chelation, and stabilization results in removal of a number of etching residues without attacking the pure metal surfaces.

We postulate the good performance of EKC265 is a result of HDA reaction. In addition, Titanium corrosion is averted due to the basic solution. Hence, EKC265 is chosen as the cleaning treatment chemical for crown wet capacitor post clean.

Table 4.5 Metallic Reduction By Hydroxylamine.

84

4.2.1.4 ELM C30

ELM C30[6] consists of 69% of N-N Dimethylformamide (DMF) and 1% of Fluoro-compound. DMF acts as the solvent to organic compounds; meanwhile, fluoride functions as oxygen-silicon, oxygen-metal, carbon-silicon and carbon-halogen bond attacks. The reaction for ELM C30 fluoride-based chemical is basically the same with EKC6800 (Equation 4-4 & Equation 4-5).

By figure 4.9, metal oxides and organic particles react with F- and HF2

at a pH around 3 to 4 (figure 4.6), the compound formed is dissolved in DMF. The water rinse step is required to rinse away the water soluble complex compound.

Like the results for EKC6800, ELM C30 succeeds in removing most of the organic like residues, but the removal of big sheet particles and small particles is not significant enough.

Figure 4.9 Schematic of ELM C30 reaction of removing fluoro compound and organic carboxylate.

4.2.1.5 EcoPeeler

Ammonium phosphate (NH3H2PO) is the major reactant in EcoPeeler(7). It plays the role of removing metal polymer. Figure 4.10 shows that by controlling NH3, pH value can be controlled. The polymer removal ability of ammonium phosphate is different under different pH values. In Figure 4.11, the cleaning mechanism of EcoPeeler is explained. Ammonium Phosphate breaks the interface between metal and polymer then the polymer reacts with condensed ammonium phosphate and becomes water soluble.

86

Figure 4.10 Ammonium phosphate preparation.

Figure 4.11 Cleaning mechanism of EcoPeeler.

4.2.1.6 Summary for Chemical Reaction

Single wafer tool chemicals have poor performance in removing organic type polymer residues; meanwhile batch type tool chemicals EKC 265, ELM C30, and EcoPeeler are rather good in terms of dissolving the organic residues. However, from defect result shown in Figure 4.1, EKC265 has the best removal rate for Titanium rich particles than the others. These kind of particles are more easily removed by a HDA based chemical. As shown in the Pourbaix diagram (Figure 4.11), Ti(OH)3 is easily formed in solutions with high pH.

In conclusion, particle defects removal for the crown capacitor process is more easily achieved in an alkali solution than in a neutral or acid solution. EKC265 is the best particles for the cleaning treatment.

From the result of section 4.1.2, a longer EKC265 treatment time implies a lower particle defect count, but a higher penetration and collapse count. This phenomenon can be infered from the etch rate of Titanium and Titanium oxide in HDA based chemistry. Longer treatment time brings a higher Titanium and Titanium oxide etch rate. Consequently, the capacitor cylinder built from Titanium risks cracking and collapsing. Depending on the bottom titanium cylinder film thickness, EKC265

88

treatment time can be adjusted.

On the other hand, IPA treatment time is completely irrelevant to the result of the experiment. We conclude that the IPA treatment time is not a factor that will impact particle defect removal ability.

Figure 4.12 Pourbaix diagram [9] for Titanium in pure water, perchloric acid or sodium hydroxide.

4.2.2 Physical Reaction

From the result of section 4.1.3.1, we found that the rotation speed has direct impact on the particle removal. The physical mechanism of a particle removal by

hydrodynamic and centrifugal force is studied in this section. It is important to understand the detachment of particles with hydrodynamic drag force and from a practical point of view know the advantages and the limitations of this cleaning technique[10,11].

hydrodynamic and centrifugal force is studied in this section. It is important to understand the detachment of particles with hydrodynamic drag force and from a practical point of view know the advantages and the limitations of this cleaning technique[10,11].

相關文件