• 沒有找到結果。

紅外線防撞自走車

N/A
N/A
Protected

Academic year: 2021

Share "紅外線防撞自走車"

Copied!
37
0
0

加載中.... (立即查看全文)

全文

(1)

報告題名:

紅外線防撞自走車

self-propelled car with anti-collision

作者:吳秉豐、林韋名、吳政展、李易哲、林東昇、羅子烜 系級:電機工程學系 學號:D0285562、D0209691、D0247680、D0285558、D0072925、D0225192 開課老師:何子儀 課程名稱:微處理機系統實習 開課系所:電機工程學系 開課學年:103 學年度第二學期

(2)

逢甲大學學生報告 ePaper(2015 年)

摘要

本專題主要在設計與製作一個紅外線防撞自走車,利用紅外線感 應器傳送與接收訊號,再經由微控制器處理適時送出訊號給馬達,使 車子能提前迴避障礙物,以達到防撞的效果。本專題應用 8051 晶片 微控制器與組合語言來執行這項動作,並配合馬達調整速度以達成目 的。車子的設計使用簡單的塑膠板、保麗龍膠或束帶等材料來完成, 以免車身過重,導致直流馬達無法推動整台自走車,最後實驗十分成 功,自走車可以自行迴避障礙物,此原型可以作為危險地帶探勘車之 類的原形,期望可減少人員再探勘危險地區的損失。

關鍵字:

1.防撞自走車 2.微控制器 3.8051

(3)

逢甲大學學生報告 ePaper(2015 年)

Abstract

The main aim of this project is to design and implement a self-propelled car with anti-collision by employing an infrared sensor. The self-propelled car can detect the obstacles by using the infrared sensors which are installed in front of car so as to avoid the collision. The system hardware is based on an microcontroller and the software is programmed in assemble language. The car speed can also be controlled by the microcontroller. In order to reduce weight of the car, The structure of the car body is made by plastic, polystyrene, and some of the light materials. Finally, the prototype of the self-propelled car is fulfilled and experimental results show its good performance.

Keyword:

1. Self-propelled car 2. Anti- collision 3. microcontroller

(4)

逢甲大學學生報告 ePaper(2015 年)

目錄

摘要 ... 目錄 ... 第一章 緒論 ... 1 第二章 系統架構 ... 2 第三章 系統功能 ... 16 第四章 實驗結果與操作說明 ... 17 第五章 結論 ... 23 第六章 組員工作劃分 ... 24 第七章 工作日誌 ... 25 第八章 組員心得 ... 27 附錄 ... 30 參考文獻 ... 33

(5)

1 逢甲大學學生報告 ePaper(2015 年)

第一章 緒論

1.1 研究動機 隨著科技的發展,自動控制的應用相當普遍,其中,非常常見的就是 自走車了,它主要用於節省人力以及讓人類避免身處於危險的環境中,例 如:無人搬運車、探險機器人。在未來說不定還會發明自動巡邏機器人, 所以我們希望利用這次機會,研究有關這方面的知識及技術,希望可以跟 著科技一起成長,故選擇防撞自走車為題目。 1.2 研究目的 透過對 8051 單晶片的學習,了解單晶片的功能及使用方法之後,經 由實際製作來對單晶片運作有更深入的了解。我們利用在學校所學的電子 學,電路學、微處理機 8051 程式,作為我們設計自走車的基礎,希望利 用基本的原理來設計出一台方便使用於各領域的自走車原型。 1.3 預期目標 利用紅外線測距,做出能夠偵測各方向的狀況,並加以記錄各方向的 情形,提早進行迴避,以達到車子防撞效果。

(6)

2 逢甲大學學生報告 ePaper(2015 年)

第二章 系統架構

2.1 硬體架構 本專題設計之硬體電路主要包含(1)8051、(2)色碼電阻、(3)馬達、(4) 紅外線感測器、(5)ADC0804 2.1.18051 介紹 一個單晶片微處理器由中央處理單元(CentralProcessingUnit,簡稱 CPU)、記憶體(Memory,包括 RAM,ROM)、輸出輸入單元(I/O, Input/Output)三個部份組成如圖 1 所示。圖 2 為 8051 接腳圖。 圖 1 微處理器基本架構

(7)

3 逢甲大學學生報告 ePaper(2015 年) 圖 2 微處理器接腳圖 8051專為控制使用所設計的8位元單晶片,並具有位元邏輯運算能 力,且有128位元的RAM、4K位元的ROM、4個8位元I/O埠、2個16位 元的計時/計數器、全雙工的UART、5 個中斷源及兩層中斷優先權結 構、時脈產生電路、以及外部電路擴充64位元程式記憶體的能力如圖 3所示。 圖 3 8051 的內部結構

(8)

4 逢甲大學學生報告 ePaper(2015 年)

運算單元(Arithmetic Logic Unit,簡稱ALU)又稱為算數邏輯單元,在中央 處理單元中可用於執行算數運算,(如:加、減、乘、除等),以及邏輯運 算(如:AND、OR、NOT、、、等),能將記憶體單元或輸入單元送至中 央處理單元的資料執行各種運算。當運算完成後再由控制單元將結果資料 送至記憶體單元或輸出單元。 控制單元(ControlUnit,簡稱CU)在中央處理單元中,負責協調與指揮各 單元間的資料傳送與運作,使得微電腦可依照指令的要求完成工作。在執 行一個指令時,控制單元先予以解碼(Decode),瞭解指令的動作意義後 再執行(Execute)該指令,因此控制單元將指令逐一執行,直到做完整個 程式的所有指令為止。 輸入單元(Input Unit,簡稱IU)用以將外部的資訊傳送到CPU做運算 處理或存入記憶體單元,一般在微電腦的輸入單元有鍵盤、磁碟機、光碟 機、滑鼠、光筆、掃描器或讀卡機等週邊設備。輸出單元(Output Unit, 簡稱OU)用以將CPU處理過的資料輸出或儲存傳送外部週邊設備,一般在 為電腦的輸出單元有顯示器、印表機、繪圖機、燒錄機或磁碟機等週邊設 備。記憶體單元(Memory Unit,簡稱MU)用來儲存輸入單元傳送來的資 料,或儲存經過中央處理單元處理完成的資料。記憶體單元之記憶體可分 為主記憶體(Main Memory)與輔助記憶體(Auxiliary Memory)兩種,而主 記憶體依存取方式不同,又可分為唯讀記憶體(Read Only Memory,簡稱

(9)

5 逢甲大學學生報告 ePaper(2015 年)

ROM)與隨機存取記憶(Random Access Memory,簡稱RAM)。ROM所儲 存的資料,在微電腦中只能被讀出但不能被寫入,也不會因為關機斷電而 使資料流失 ;至於RAM在微電腦中,則可被讀出或寫入資料,但在關機斷電後儲存 於RAM中的資料將會流失。輔助記憶體則是指磁片、硬碟或磁帶等週邊 硬體,一般亦為輸出入單元,主要用來彌補主記憶體的不足,其容量可無 限制擴充。 2.1.2 色碼電阻 圖 4 色碼電阻外觀 圖 5 色碼電阻標示 色碼標示的電阻值其單位為歐姆、電容值為 pF、電感值為 µH。色碼 A 為其數值的第一位數。色碼 B 為其數值的第二位數。色碼 C 為其倍率, 若數字為 c,其倍率為 。色碼 D 若存在,則其表示數值的誤差範圍,若 沒有色碼 D,其誤差範圍為 20%。例如:一個電阻所用的色碼順序為黃, 紫,紅,金,第一個數字 4(黃色),第二個數字 7(紫色),倍率 (紅色), 電阻為 4700Ω 誤差±5% (金色), ±10% (銀色)因此實際的電阻值在 4465 與 4935Ω 之間可參照表 1。

(10)

6 逢甲大學學生報告 ePaper(2015 年)

表 1 色碼電阻計算表 2.1.3 馬達規格

我們所使用的馬達為 CG-309A 如圖 6,規格如表 2 所示。

(11)

7 逢甲大學學生報告 ePaper(2015 年)

Output Power Min

5W Output Power Max

5W Speed Min 6 rpm Torque 0.8 to 6 kgfam 額定轉速 200 rpm 最高輸入電壓 12 V 負重 0.8kg~~6kg 減速比 36:1 最大輸出力矩 58.8 N-m 最小輸出力矩 7.84 N-m 表 2CG-309A 規格表 2.1.4 紅外線感應器 紅外線感測器依照材料及傳導波長可區分為兩種,一為光子感測器 (把紅外線當成光子捕捉而利用光電效應者),一為熱感測器(利用紅外 線的電磁波能量所致的物性變化-主要為熱作用者),在本專題使用光子 感測器如圖 7。當光子感測器直接吸收了紅外線輻射後的結果,會產生有 如帶電般的反應。這種感測器對溫度的變化非常敏銳。

(12)

8 逢甲大學學生報告 ePaper(2015 年) 圖 7 光子感測器 我們的專題用的型號是 GP2Y0A02。可用於近距離測距上、近距離物 體碰撞偵測上、和近距離非接觸開關。 GP2Y0A02 是目前最普遍使用的紅外線距離感測器,針對不同輸入訊 號以及距離範圍有不同的型號可選擇。紅外線感測器與超音波感測器比起 來價格部分便宜許多。由於輸出訊號為類比電壓,因此可以與 NI DAQ 資 料擷取儀器直接做結合,使用類比電壓讀取指令直接得到資料可參照圖 8。圖 9 為電壓和距離的特性圖。 圖 8GP2Y0A02 功能說明

(13)

9 逢甲大學學生報告 ePaper(2015 年) 圖 9 輸出距離特性圖 2.1.5 ADC0804 類比-數位轉換器的功能:可將類比信號轉換為數位信號。ADC0804 為 CMOS 的類比-數位轉換 IC,只需 5V 單電源即可正常工作,允許 0~ 5V 類比電壓輸入,若輸入電壓過高則易燒毀;具有三態輸出,與微處理 機相容,不需配合其他介面,即可與 8051 等微電腦一齊工作。 類比-數位轉換器的工作原理:常用的 A/D 轉換器可分為逐次比較型 A/D 轉換器、並聯比較型 A/D 轉換器、雙重積分型 A/D 轉換器、、、 等等。以下針對逐次比較型 A/D 轉換器與並聯比較型 A/D 轉換器逕行 探討。逐次比較型 A/D 轉換器:又稱計數器斜坡式 A/D 轉換器,由電 壓比較器(Voltage comparator)、及閘、二進位計數器與數位類比轉換器 (D/A 轉換器)、、、等組成。

(14)

10 逢甲大學學生報告 ePaper(2015 年)

首先將類比輸入信號透過電壓比較器,與 D/A 轉換器產生的類比信 號進行比較,將比較結果與時脈輸入及閘後,以計數器輸出數位信號。 (Roger L. Tokheim,2009) 並聯比較型 A/D 轉換器:將基準電壓透過電阻 分壓後,再配合比較器與輸入的類比電壓進行比較,將比較結果輸入解碼 電路後輸出,即可得到數位信號。並聯比較型 A/D 轉換器具有轉換速度 快的優點,但需要多個比較器與電阻器,材料費用較高。 (Roger L. Tokheim,2009) ADC0804 及其相關迴路之研究---以簡易數位電表為例 A/D 轉換器比較:表 3 為 A/D 轉換器的優缺點比較表。 表 3 A/D 轉換器優缺點比較表 A/D 轉換器種類 優點 缺點 逐次比較型 A/D 轉換器 元件較少。 為逐次比較, 故轉 換速度較慢。 並聯比較型 A/D 轉換器 多個比較器同時工 作,轉換速度較快。 所需元件數較多, 成本較高。

(15)

11 逢甲大學學生報告 ePaper(2015 年) 2.1.6 外觀架構 圖 10 為自走車的外觀俯視圖,我們採用正三角形外觀為模型,因為 三邊相等的關係,所以轉彎的時候,以頭過身就會過為原則,避免轉彎時 產生的內輪差。輪子則是由前輪帶動後輪,所以只利用前面兩顆馬達運 轉,感測器我們擺放在正中間,使偵測的範圍較廣。 圖 10 外觀俯視圖 2.1.7 硬體流程圖 車子啟動之後,馬達持續正轉,讓車子直線前進,當紅外線測距判斷 出前方有障礙物時,右輪便會反轉,讓車子原地轉彎,前方的 LED 也會持 續閃爍,直到紅外線判斷沒有障礙物為止,右輪馬達將持續反轉一小段時 間,確保車身前方都無障礙物,車子便繼續直線前進如圖 11 所示。

(16)

12 逢甲大學學生報告 ePaper(2015 年)

開始

向前行駛

判斷前方有無障

礙物

向右轉(右輪

反轉)

判斷前方有無障

礙物

DELAY,LED停止

閃爍

圖 11 硬體流程圖

(17)

13 逢甲大學學生報告 ePaper(2015 年) 2.2 軟體系統架構 本專題軟體流程分為(1)主程式(2)中斷程式 2.2.1 主程式 程式一開始,會先設定 Timer0 Mode1 還有中斷的參數,設定完成後, 啟動左右馬達,使其正轉,8051 接下來傳輸一個方波給 ADC0804 的 WR, 使 ADC0804 存取當前紅外線所感測產生出的電壓,然後等待 ADC0804 作類比轉數位的轉換,當轉換完 ADC0804 的 INTR 腳位會傳出訊號給 8051,並判斷 ADC0804 所輸出的數值是否為 1,當為 0 就跳回去傳輸方 波給 ADC0804 再次作判斷。 當為 1 則跳到另一個副程式,使右輪馬達反轉並啟動中斷程式,然後 繼續傳方波給 ADC0804 作判斷,當判斷完成後,ADC0804 的 INTR 腳位 一樣會傳出訊號給 8051,接著判斷 ADC0804 所輸出的數值是否為 0,當 為 1 就跳回去傳輸方波給 ADC0804 再次作判斷;當為 0 則 DELAY 保持此 狀態,並關閉中斷跳回主程式如圖 12 所示。

(18)

14 逢甲大學學生報告 ePaper(2015 年) 開始 設定計數器 及中斷 ADC0804接收 訊號 等待轉數位訊 號,並判斷 開啟中斷,右輪 馬達反轉 關閉中斷,馬達啟 動(左右皆正轉) ADC0804接收訊號 等待轉數位訊 號,並判斷 DELAY 訊號為0 訊號為1 訊號為1 訊號為0 圖 12 軟體流程圖

(19)

15 逢甲大學學生報告 ePaper(2015 年) 2.2.2 中斷程式 當 TF0 為 1 時,則跳到 000BH 的位置執行,使 P1.6 和 P1.7 腳位狀態 反相,在跳回主程式如圖 13 所示。

中斷訊號

LED狀態反相

回到主程式

圖 13 中斷流程圖

(20)

16 逢甲大學學生報告 ePaper(2015 年)

第三章 系統功能

本專題主要功能如下: 1. 防撞偵測: 我們利用紅外線感測器,大約在 50 公分前後測量有無障礙物有的話便 會傳一訊號給 8051,讓其控制馬達以達目的。 2. 自動避障: 50 公分內沒有感測到障礙物,自走車會繼續前進,50 公分內感測到障 礙物,系統將開啟中斷,右輪馬達會開始反轉,LED 會開始閃爍提示, 自走車會往右行駛。直到前方沒有障礙物,兩顆馬達皆正轉,LED 停止 閃爍,車子繼續直行。

(21)

17 逢甲大學學生報告 ePaper(2015 年)

第四章 實驗結果與操作

我們用一個笑臉作為正面和背面的區分,她的鼻子有一顆 LED 燈遇到 障礙物時,會發光來做為警示如圖 14 所示。 圖 14 作品正面 圖 15 我們可以看到底面結構是由馬達帶動筷子,再由筷子帶動輪子 的原理運轉。

(22)

18 逢甲大學學生報告 ePaper(2015 年) 圖 15 作品底面 我們的電路和 8051 擺放在車子的夾層,一方面是方便整理線條,一 方面則是為了美觀如圖 16 所示。 圖 16 作品夾層 圖 17 為車子的側面,可以看到輪子也是笑臉形狀,這是為了方便觀 察輪子正轉與反轉的情形。

(23)

19 逢甲大學學生報告 ePaper(2015 年) 圖 17 作品側面 作品實際操作: 首先我們打開電源放在地上讓他行走如圖 18,當它偵測到椅子時他會 停止向前如圖 19,然後它會開始向右轉迴避障礙物如圖 20 及 21。直到沒 有障礙物時重新向前直行如圖 22,最後圖 23 順利的完成這次的實驗。 圖 18 車子向前行走

(24)

20 逢甲大學學生報告 ePaper(2015 年)

圖 19 前方偵測道障礙物,停止向前

(25)

21 逢甲大學學生報告 ePaper(2015 年)

圖 21 向右轉

(26)

22 逢甲大學學生報告 ePaper(2015 年)

(27)

23 逢甲大學學生報告 ePaper(2015 年)

第五章 結論

這次自走車的小專題製作成功達成預期目標,不過也有需多地方 可以再做加強,如車身需用更加堅固的材質才有辦法載東西、輪子要 使用更容易轉動的、以及馬達需用具備更高轉速及轉矩的馬達來取代 會更有力。在未來,可以研發遠端遙控裝置,或是使用聲控,讓自走 車可依命令自動到目的地,而且途中會自動避開障礙物,可將其變成 在公司內部送公文的自動車,亦或是家中隨叫隨到的垃圾桶等等便利 生活的自動車。如果再結合其他技術,使其能 360 度感測周圍的情況, 並判斷最佳路徑,能規劃出路線,甚至是將周遭環境掃描,建成 3D 模型,便可以將其作為在探勘危險地區的偵查車,一定可以有效減少 人員的受傷機會,保護人身安全,在外星球偵察、火災現場、不明洞 穴等等地方一定能大大派上用場。

(28)

24 逢甲大學學生報告 ePaper(2015 年)

第六章 組員工作劃分

組員姓名 工作分配 吳秉豐 電路、PCB 鑽洞、洗板、焊接、PCB Layout。 吳政展 寫程式、口頭報告、電路、PCB Layout。 林韋名 洗板、PPT 製作、WORD 製作、電路、PCB Layout。 李易哲 洗板、焊接、PPT 製作、WORD 製作。 林東昇 洗板、PCB 鑽洞、購買車子材料、外觀設計。 羅子烜 洗板、車身製作、購買車子材料、外觀設計。

(29)

25 逢甲大學學生報告 ePaper(2015 年)

第七章 工作日誌

五月 1 號 五月 2 號 五月 3 號 五月 4 號 討論專題內容 討論專題內容 討論專題內容 討論專題內容 五月 5 號 五月 6 號 五月 7 號 五月 8 號 討論專題內容 討論專題內容 討論專題內容 設計電路 找材料 五月 9 號 五月 10 號 五月 11 號 五月 12 號 接麵包版試跑 程式 接麵包版試跑 程式 接麵包版試跑 程式 接麵包版試跑 程式 五月 13 號 五月 14 號 五月 15 號 五月 16 號 接麵包版試跑 程式 接麵包版試跑 程式 檢查電路圖 PCB layout 檢查電路圖 PCB layout 五月 17 號 五月 18 號 五月 19 號 五月 20 號 PCB layout PCB layout PCB layout 檢 查 PCB layout 檢 查 五月 21 號 五月 22 號 五月 23 號 五月 24 號

(30)

26 逢甲大學學生報告 ePaper(2015 年) 洗板子 洗板子 鑽孔焊接 鑽孔焊接 五月 25 號 五月 26 號 五月 27 號 五月 28 號 鑽孔焊接 硬體除錯 硬體除錯 硬體除錯 五月 29 號 五月 30 號 五月 31 號 六月 1 號 硬體程式配合 PPT 製作 硬體程式配合 PPT 製作 硬體程式配合 買外觀材料 硬體程式配合 書面檔製作 六月 2 號 六月 3 號 六月 4 號 六月 5 號 硬體程式配合 書面檔製作 硬體程式配合 書面檔製作 製作外觀 書面檔製作 製作外觀 書面檔製作 六月 6 號~六月 13 號 期末考 六月 14 號 六月 15 號 六月 16 號 六月 17 號 測試程式 測試程式 測試成品 成果發表

(31)

27 逢甲大學學生報告 ePaper(2015 年)

第八章 組員心得

李易哲: 這次是我個人第一次參與專業的專題製作,跟著組員一起製訂目標和 方向,雖然我個人上蠻多東西都不大會,可是我能幫上的都會盡量幫忙, 有的人寫程式,有的人接電路,有的人做報告,每個組員的付出成就了這 個專題的成功,感謝各位組員的努力,也非常感謝助教的講解和幫助,最 後還熬夜幫忙全班看看哪裡有問題。 林韋名: 雖然這次專題花了很多時間、力氣才完成,可是卻是一次寶貴的回憶,我 很感謝老師給我們這次的機會,讓我們學習如何團隊合作。一開始以為這 次的作品並不難,但是開始做的時候才發現他困難的地方,例如:光是要寫 馬達的程式就需要花一些時間來設計,簡單的 LAYOUT 排線卻花了不少的 時間,所以更別說更困難的功能了,不過我很感謝我們這組的組員都很團 結,因為我們擅長的地方不一樣,所以必須發揮每個人的專長才能把這次 的作品呈現出來。我們有空閒的時候就會一起討論如何設計這次的作品, 所以感情也越來越好,默契更是十足,最後有空閒的時間我們就約出來一 起吃飯,慶祝這次的成果,真的很謝謝有這次的機會讓我們學習成長,也 謝謝助教犧牲自己許多寶貴的時間為我們教導,陪我們做專題,真的讓我

(32)

28 逢甲大學學生報告 ePaper(2015 年) 們很感動,希望下次的專題還能給你們指導。 吳政展: 這是我第一次實際做出一個作品出來,而我們這組這一次是選擇做自走 車,因為要用到紅外線和 ADC0804,這些在課堂上都沒有特別教到,所以 都需要去網路上自己找資料研究。雖然自己在摸索的時候做得很痛苦,在 打程式的時候要先自己去搞懂硬體的部分,不然真的會毫無頭緒,不知該 從何下手,不過在理解其原理後真的會有一種莫名的成就感。還有再組裝 車體原來也是一個很大的學問,要考慮 PCB 板和電池的位子,輪子是否能 驅動整台車體轉彎,重量會不會太重。不過在最後也很順利的做出我們第 一台自走車,這也都要感謝各個隊友的協助,才能讓這台自走車能夠如其 完成,也希望未來能再把這台車改裝更好,附加上更多功能,如:能夠 360 度偵測、自動呼叫它過來和回到固定位置。 吳秉豐: 微處理機實習感覺是這學期收穫最多的科目呢,從學期開始,大家便一起 制訂出一個主題,並且利用學期中間所學,想辦法將最終成品做出來,期 間也碰到許多困難,如 ADC 解碼器不知道如何控制、程式遇到瓶頸、如何 裝出穩固的車身等等,不過在集思廣益、分工合作下,問題也一件一件解 決了。這次實作更是實際體驗到想跟做完全是兩回事,就算想像的多美 好、多可行、有多完善的計畫,在真正做的時候,是很常與預期相違反的,

(33)

29 逢甲大學學生報告 ePaper(2015 年) 真的是一個很好的經驗。這次期末小專題,感謝一起分工合作的組員們, 在做的期間雖然多少都有怨言,不過想必大家也都有從中學到許多東西。 林東昇: 我覺得這次的微處理機讓我學到很多有關於寫組合語言的經驗,也讓我了 解到關於團隊合作的重要,溝通、合作、互補缺一不可,很感謝也很珍惜 這次跟我同組的優秀的組員,我很多方面各種不盡完美的事項也得靠他們 的指點及包容,實在是一次難得的經驗。 羅子烜: 這次專題制作我是負責組裝的部分,每個人都做好自己的工作,有問題的 時候就會一起討論想辦法。我覺得因為我們這樣,所以我們專題才這麼順 利。每個人有自己的專長和缺點,互相補足,這樣才是團隊。雖然過了這 個學期,我們可能不會再有機會同組做專題。所以這次專題報告對我來說 十分難忘,亦令我學到很多,在未來大三大四做進一步的專題都會有一些 基本的知識和概念。

(34)

30 逢甲大學學生報告 ePaper(2015 年)

附錄

(35)

31 逢甲大學學生報告 ePaper(2015 年)

(36)

32 逢甲大學學生報告 ePaper(2015 年) 附錄三 部份程式 ORG 0000H MOV P3,#00000000B MOV P1,#00000000B AJMP D10 ORG 000BH MOV TL0,#-255 MOV TH0,#-255 CPL P1.6 CPL P1.7 RETI ORG 0040H D10: MOV TMOD,#00000001B MOV TL0,#-255 MOV TH0,#-255 SETB ET0 SETB EA D6: CLR TR0 CLR P1.6 CLR P1.7 MOV P3,#00010001B SETB P1.0 SETB P1.3 MAIN: MOV P2,#11000000B SETB P0.7 MOV R1,#50 CLR P2.7 SETB P2.6 D0: JNB P2.6,D1 DJNZ R1,D0

(37)

33 逢甲大學學生報告 ePaper(2015 年) AJMP MAIN

參考資料

[1]鍾自立、張正賢著,8051 實作與燒錄器製作。 [2]陳龍三著,8051 入門與介面控制。 [3]維基百科。 [4]列屆學長姐論文參考。 [5]ADC0804 http://www.shs.edu.tw/works/essay/2012/03/2012033106440874.pdf [6]GP2Y0A02 紅外線感應器 http://riobotics-test.weebly.com/sharp-gp2y0a02.html

數據

表 1 色碼電阻計算表  2.1.3  馬達規格
圖 19 前方偵測道障礙物,停止向前
圖 21 向右轉
圖 23 實驗成功

參考文獻

相關文件

如圖,D、E、F 三點分別在圓內、圓上、圓外,則∠ADB、∠AEB、∠AFB 的大小關係為ˉˉ ˉˉ。(請由小到大排列)... 小康要平分一個半徑為 8

有一長條型鏈子,其外型由邊長為 1 公分的正六邊形排列而成。如下 圖表示此鏈之任一段花紋,其中每個黑色六邊形與 6 個白色六邊形相

鼓勵家長一同參與,每天與孩子互相分 享感恩和快樂的事,建立正面積極的生

首先第一個是堅強 ,每當自己想放 棄做一件事時,我會想起孤兒們的 情況,我們也要學像他們一樣堅強 起來。第二個是 笑

她寫道,當我們在生活中最想做的事情也是我們的義務時,最能 感受到 Ikigai 。關於 Ikigai ,感受就是最誠實的,如果我們知道如何

有一長條型鏈子,其外型由邊長為 1 公分的正六邊形排列而成。如下 圖表示此鏈之任一段花紋,其中每個黑色六邊形與 6 個白色六邊形相

如圖,空間中所有平行的直線,投影在 image 上面,必會相交於一點(圖中的 v 點),此點即為 Vanishing Point。由同一個平面上的兩組平行線會得到兩個

其硬體架構如圖 9.3 所示。本實驗最主要的目的是要將之前學長所做的 GPS/INS 整合 部分中的加速儀用