• 沒有找到結果。

Impact of plasma treatment on structure and electrical properties of porous low dielectric constant SiCOH material

N/A
N/A
Protected

Academic year: 2021

Share "Impact of plasma treatment on structure and electrical properties of porous low dielectric constant SiCOH material"

Copied!
4
0
0

加載中.... (立即查看全文)

全文

(1)

Impact of plasma treatment on structure and electrical properties of porous low

dielectric constant SiCOH material

Yi-Lung Cheng

a,

, Jun-Fu Huang

a

, Yu-Min Chang

b

, Jihperng Leu

b

a

Department of Electrical Engineering, National Chi-Nan University, Nan-Tou, Taiwan, ROC

bDepartment of Material Science and Engineering, National Chiao-Tung University, Shin-Chu, Taiwan, ROC

a b s t r a c t

a r t i c l e i n f o

Available online 31 December 2012 Keywords: Low-k dielectric H2/He Plasma Remote plasma Reliability Breakdown

Low dielectric constant (low-k) porousfilms are needed for advanced technologies to improve signal propaga-tion. The integration of porous low-kfilms faces more severe challenges due to the presence of porosity. Plasma treatments have been considered to be critical steps to impact the low-kfilms' properties. In this study, the effect of various H2/He plasma treatments on the porous low-k dielectrics deposited by plasma enhanced chemical vapor deposition was investigated. All the plasma treatments resulted in the formation of a thin and dense layer on the surface of the porous low-kfilms. Additionally, the properties of this top dense layer are modified and changed for the standard H2/He plasma treatment, leading to a degraded electrical and reliability perfor-mance. However, H2/He plasma-treated low-k dielectric by the remote plasma method shows a better electrical and reliability performance. As a result, the remote plasma treatment on the porous low-k dielectrics appears to be a promising method in the future interlayer dielectrics application.

© 2012 Elsevier B.V. All rights reserved.

1. Introduction

As feature sizes of integrated circuits continuously shrink to sub-micro, interconnect resistance-capacitance (RC) delay begins to dominate overall device speed in copper (Cu)/low dielectric (low-k) metallization. To decrease RC delay time, interconnection resistance has been reduced using Cu instead of aluminum while interlayer capac-itance has been lowered by replacing conventional silicon dioxide (k ~ 4.0) with low-k materials (kb4.0)[1–3].

For low-k materials, they should introduce the porosity within the film in order to reduce the permittivity below 2.5[4,5]. However, the porous low-k materials would suffer multiple challenges to their inte-gration in the damascene interconnects either due to their mechanical weakness or the degradation during integration[6]. Additionally, in the integration process, the plasma treatments are indispensible step, and are thought to be one of the most critical steps in regarding to the modification of the porous material[7,8].

Before Cu barrier layer was deposited, H2/He plasma treatment

was commonly used to reduce CuOxlayer[9,10]. At the same time,

this plasma treatment was also performed on the neighboring low-k materials. Understanding of the plasma damage mechanism on the low-k materials is therefore one of the key factors for inter-connect integration. This work investigates the impact of the various H2/He plasma treatments on the physical, electrical properties, and

reliability of the porous low-kfilm. The dielectric reliabilities and the integrated interline electromigration (EM) are also examined.

2. Experimental details

The as-deposited porous low-k material is a SiCOHfilm, deposited on a p-type (100) silicon substrates by plasma enhanced chemical vapor deposition (PECVD). The porous low-k films were deposited from diethoxymethylsilane and alpha-terpiene as a matrix and porogen precursor, respectively. A small amount of oxygen was also introduced as an oxidant. The deposition temperature, pressure, and power were 300 °C, 1.0 × 104Pa, and 600 W, respectively. After deposition, UV cur-ing with 200–450 nm wavelength was performed to remove the organ-ic porogen. The average pore size and porosity of the resulting porous low-kfilms are around 1.4 nm and 12%, respectively, which were deter-mined from the isotherm of ethanol adsorption and desorption using ellipsometric porosimetry. The dielectric constant is ~2.54. Then, the porous low-kfilm (blanket wafer) was tested by various H2/He plasma

treatments, whose conditions are listed inTable 1.

The thickness and refractive index (at a 633 nm wavelength) of as-depositedfilms were analyzed on an optical-probe system with an ellipsometer. The water contact angle (WCA) was determined as the av-erage of five measurements (Reme Hardt, Mode 100-00-230). The chemical composition of low-kfilms was identified using atomic com-positional depth profile analysis (AES) with 5 keV Ar ion sputtering (VG Scientific Microlab 350). The electrical characteristics of low-k films were examined by capacitance–voltage measurements at 1 MHz using a semiconductor parameter analyzer (HP4280A). Leakage and breakdown measurements were done at room temperature (25 °C) on metal–insulator–silicon (MIS) and 0.126 μm-pitched line-to-line comb structures. The breakdown voltage is defined as the voltage at a sudden rise of at least three decades of the leakage current. The MIS

Thin Solid Films 544 (2013) 537–540

⁎ Corresponding author. Tel.: +886 49 2910960 4987; fax: +886 49 2917810. E-mail address:yjcheng@ncnu.edu.tw(Y.-L. Cheng).

0040-6090/$– see front matter © 2012 Elsevier B.V. All rights reserved.

http://dx.doi.org/10.1016/j.tsf.2012.12.074

Contents lists available atScienceDirect

Thin Solid Films

(2)

capacitors with p-type silicon as the substrates and aluminum as the metal electrodes were fabricated. The thickness of low-k dielectric is 0.3μm. The capacitors had an area of 30×30 μm2. The line-to-line

comb structure of 0.126μm pitch and 0.062 μm Cu line width was fab-ricated using Cu single damascene process. EM test structure of 250μm length and 0.062μm width was fabricated using Cu double-layered dual damascene interconnect. After etching the low-k dielectric layer, various H2/He plasma treatments were performed before Cu

intercon-nects deposition. A 30 nm dielectric barrier of SiCN was deposited on the top of Cu lines using PECVD after completing Cu chemical mechan-ical polishing process. The stress temperature was 275 °C at afixed cur-rent density of 2.0 MA/cm2for EM test. A sample size of 30 samples was

used for each experiment. Resistance increase with time was monitored until failure. A failure criterion of 10% resistance increase was employed. More details on test structure fabrication and EM characterization can be found elsewhere[11].

3. Results and discussion

Fig. 1shows the thickness variations of porous low-kfilms after the various H2/He plasma treatments. We used a bi-layer model of

ellipsometry measurement to measure the thickness and refractive index of the top modification layer and the bottom bulk low-k film. As shown, a thin modification layer was formed on the top of the porous low-kfilms after the plasma treatment. Additionally, the thickness of the top modification layer is dependent on the plasma treatment time and method. The thickness of the modified top layer increased with increasing the plasma treatment time. Moreover, in comparison to the standard plasma treatment, the remote plasma treatment can lead to a thinner thickness of the modified top layer. Furthermore, the thick-ness shrinkage in the bulk low-kfilm after the plasma treatment was also observed. The thickness shrinkage is obvious for the standard plas-ma treatment and becomes larger with increasing the treatment time. The results of refractive index also follow this trend. For the remote

plasma treated sample, the refractive indexes of the modified top layer and the refractive index of the bulk low-k film remained unchanged with a value of 1.451. As for the standard H2/He plasma

treated samples, the refractive indexes of the modified top layer and bulk low-kfilm increased to 1.497 and 1.467, respectively. The results indicate that in addition to ion bombardment effect, the deep UV light emitted by the H2/He plasma in the standard plasma condition reduces

the bulk low-kfilm thickness and modifies the top thin layer. On the other hand, there are only radicals without deep UV light and ion bom-bardment in the remote H2/He plasma condition. The radicals only

modify the top thin layer and this effect is relatively weak.

To further investigate the properties of the modified top layer and the bulk low-kfilm, we used the diluted HF solution (1% volume) to etch the plasma-treated low-k materials with different times. The results of the etching rates for the modified top layer and the bulk low-kfilm are shown inFig. 2. For plasma-treated low-kfilms using the remote H2/He plasma, the etching rates of the modified top layer

and the bulk low-kfilm are comparable, which have a similar value to that of non-treated samples. This indicates that the top modification layer induced by the remote H2/He plasma treatment has a similar

film property as the bulk low-k film. In the case of the standard H2/He

plasma-treated low-kfilms, the etching rates of the modified top layer are increased to ~ 50 and ~ 67 nm/min for 25 s and 100 s treated samples, respectively. Moreover, the etching rates of the bulk low-k film are also increased to ~17 nm/min. The results indicate that the properties of the top layer and the bulk low-kfilm treated by the standard H2/He plasma are modified, which are different from

those induced by the remote plasma treatment or the pristine low-k film. It can be further deduced that the top modification layer induced by deep UV light, ion bombardment, and radicals in the standard plasma condition is totally changed and have distinct film properties. However, this layer induced only by radicals in the remote plasma condition remains the similar characteristics as the pristine low-kfilm.

Table 1

Plasma treatment conditions performed on porous low-k material.

Plasma treatment Reactor condition Gas ratio Component Integration goal

Standard H2/He treatment (STD) Capacitive coupling plasma 750 W/room temperature

5% H2/95% He Deep UV, ion radical H2/He Chemical reduction of CuOx

Remote H2/He treatment Two chambers: 5% H2/95% He Radical H2/He Chemical reduction of CuOx

(1) Plasma generator chamber: Inductive coupling plasma 4000 W/room temperature (2) Reaction chamber: 0 W/300 °C 0 50 100 150 200 250 300 350 400 450 Top layer: 2.834 nm Top layer: 37.963 nm Top layer Low- k Thickness (nm) Without STD STD Remote

treatment treatment 25 s treatment 100 s treatment 25 s Top layer:

28.66 nm

Fig. 1. Thickness variation of low-kfilms after the different plasma treatments.

0 10 20 30 40 50 60 70 80 Without STD STD Remote

treatment treatment 25 s treatment 100 s treatment 25 s

Top layer Bulk low- k film

Etching rate (nm/min)

Fig. 2. Comparison on wet etching rate of various plasma-treated low-kfilms.

(3)

Further investigation the top modification layer was performed using AES analysis.Fig. 3shows the depth profile of the carbon con-tent in the plasma treatedfilm, indicating that the carbon content decreases in the top modification layers induced by the standard H2/He plasma treatment. Moreover, the depth of the damage layer

(carbon loss) is lager for the standard H2/He plasma treatment and

becomes larger with increasing the treatment time. In the case of the remote H2/He plasma-treated sample, the carbon profile

remained unchanged, which is consistent with the result of Fourier transform infrared spectroscopy (Nicolet 460).

WCA measurements were performed to check the low-k films' hydrophilization after the various H2/He treatments. The averaged

re-sults from 5 sites are shown inFig. 4. A larger WCA (~90°) was observed for the porous low-kfilm without plasma treatment, indicating this po-rous low-kfilm seems to be hydrophobic. As expected, the WCA value of the remote H2/He plasma-treated low-kfilms is not degraded, instead

of slightly increase possibly due to moisture desorption, indicating that the porous low-kfilm after the remote plasma treatment becomes more hydrophobic. On the other hand, the WCA value is decreased for the standard plasma-treated low-kfilms, and the magnitude is ampli-fied with enlarging the treatment time. This result indicates that the po-rous low-kfilms treated by the standard H2/He plasma attack have lost

their hydrophobic property and become hydrophilic, leading to a water-uptake.

Dielectric constants of the porous low-kfilms after the various H2/He plasma treatments are shown inFig. 5. The dielectric constant

of as deposited porous low-kfilms after UV curing process is 2.54. After performing the remote plasma treatment, the dielectric con-stant of the porous low-kfilms decrease to 2.48. On the other hand, the dielectric constants increase and become larger with increasing the treatment time for the standard plasma treatment. This implies that the dielectric property of the porous low-kfilm layer treated by the standard H2/He plasma was deteriorated.

The leakage currents of the porous low-kfilms after various H2/

He treatments were evaluated. Two tested structures: MIS and line-to-line comb structures (pitch/line= 0.126μm/0.062 μm), were used to measure the dielectric property of the low-kfilms under various H2/He treatments.Fig. 6compares the leakage currents at 2 MV/cm for

the porous low-kfilms after various H2/He plasma treatments in two

different structures. As shown, the leakage currents remain unchanged for both H2/He plasma treatment methods in the MIS structure. For the

standard H2/He treatment with 100 s treatment time, the leakage

cur-rent slightly increases by only ~2%. However, in the case of the line-to-line comb structures, the leakage current is related to the plas-ma treatment method and plasplas-ma treatment time. The remote plasplas-ma

treated sample shows the comparable line-to-line leakage current as that without plasma treatment, but shows a better line-to-line leakage current than that of the standard plasma treated sample. Moreover, for the standard plasma treated sample, line-to-line leakage current be-comes worse with increasing the plasma treatment time. This also dem-onstrates that the standard plasma treatment deteriorates the dielectric property of the porous low-kfilms. Moreover, a different behavior in the leakage current for these two structures was observed, indicating that the top modification layer induced by H2/He plasma treatment has

dif-ferent effects on the leakage current of the porous low-kfilms. For MIS structures, this top modification layer has no significant impact on the leakage current due to a relatively thinner thickness in comparison to the bulk low-kfilm. On the contrary, in the line-to-line comb structures, this modified top layer between two conductors plays an important role in the leakage current. The modified top layer induced by the deep UV light and ion bombardment in the standard H2/He plasma treatment

becomes an activating diffusion path, increasing the leakage current. Based on the results, we can also infer that the leakage conduction mechanism between the conductors is dominated by the surface migra-tion, rather than by the bulkfilm diffusion for the line-to-line comb structures.

To further understand the dielectric reliability, voltage ramping-up to dielectric breakdown of the porous low-k under various plasma treatment conditions was measured.Fig. 7shows the distributions of voltage ramping-up to dielectric breakdown of the porous low-k

0 500 1000 1500 2000 2500 3000 0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35

Carbon Indensity (arb. units)

Without treatment STD treatment 25 s STD treatment 100 s Remote treatment 25 s

Etching time (s)

Fig. 3. Carbon AES profile of low-k films after various plasma treatments.

0 50 55 60 65 70 75 80 85 90 95 100 W ithou t STD STD Remote

treatment treatment 25 s treatment 100 s treatment 25 s

Contact angle (degree)

Fig. 4. Water contact angle of low-kfilms after various plasma treatments.

0.0 0.5 1.0 1.5 2.0 2.5 3.0 W ithou t STD STD Remote

treatment treatment 25 s treatment 100 s treatment 25 s

Dielectric constant

Fig. 5. Dielectric constants of low-kfilms after the different plasma treatments. 539 Y.-L. Cheng et al. / Thin Solid Films 544 (2013) 537–540

(4)

under various plasma treatments using line-to-line comb structures. As shown, the remote plasma treated sample has better voltage ramping-up to dielectric breakdown performance, while the stan-dard plasma treated sample shows a lower breakdown voltage as compared to the non-treated sample. Moreover, the dielectric break-down voltage becomes lower as the plasma treatment time in-creases. This also demonstrates that the standard plasma treatment with deep UV light radiation and ion bombardment degrades the low-kfilm reliability.

Fig. 8presents the cumulative failure distribution of EM lifetime for typical Cu interconnect lines. The cumulative failure distribution is plot-ted by measurement of 30 sample's failure times using lognormal distri-bution. Although the difference of the measured failure times of Cu interconnect lines is not significantly large, it also can be observed that the failure times of Cu interconnect lines with the standard H2/He

treatment slightly decrease and become worse with increasing the treatment time. More obviously, the failure times of early failure sam-ples degrade significantly for the standard H2/He treatment condition

with a longer treatment time. It is well known that the EM performance is controlled by the Cu interface and the bulk Cufilm[12]. Therefore, the worse integrity between the plasma-treated low-kfilm and the Cu line at the side walls leads to a decreasing EM failure time for the standard plasma treatment condition.

4. Conclusions

In this study, the effect of various plasma treatments on the porous low-k dielectrics deposited by PECVD was investigated. All the plasma treatments resulted in the formation of a thin and dense layer on the surface of the porous low-kfilms. Additionally, the properties of this top dense layer are modified and changed for the standard H2/He plasma treatment, causing the degraded

electri-cal and reliability performance. However, H2/He plasma-treated

low-k dielectric by the remote plasma method shows a better electri-cal and reliability performance. As a result, the remote plasma treat-ment on the porous low-k dielectrics without deep UV light and ion bombardment appears to be a promising method in the future interlayer dielectrics application.

Acknowledgment

The author would like to thank the National Science Council of the Republic of China, Taiwan, forfinancially supporting this research under contract no. NSC-99-2221-E-260-002. Ted Kony is appreciated for his editorial assistance.

References

[1] A. Grill, J. Appl. Phys. 93 (2003) 1786.

[2] S.M. Gates, D.A. Neumayer, M.H. Sherwood, A. Grill, X. Wang, M. Sankarapandian, J. Appl. Phys. 101 (2007) 094103.

[3] C.Y. Kim, R. Navamathavan, H.S. Lee, J.K. Woo, M.T. Hyun, K.M. Lee, W.Y. Jeung, C.K. Choi, Thin solid Films 519 (2011) 5732.

[4] L. Broussous, G. Berthout, D. Rebiscoul, V. Rouessac, A. Ayral, Microelectron. Eng. 87 (2010) 466.

[5] C.H. Huang, N.F. Wang, Y.Z. Tsai, C.I. Hung, M.P. Houng, Microelectron. Eng. 87 (2010) 1735.

[6] H.G. Peng, D.Z. Chi, W.D. Wang, J.H. Li, K.Y. Zeng, R.S. Vallery, W.E. Frieze, M.A. Shalsey, D.W. Gidley, A.F. Yee, J. Electrochem. Soc. 154 (2007) G85.

[7] H.W. Guo, L. Zhu, L. Zhang, S.J. Ding, D.W. Zhang, R. Liu, Microelectron. Eng. 85 (2008) 2114.

[8] W. Puyrenier, V. Rouessac, L. Broussous, D. Rebiscoul, A. Ayral, Microelectron. Eng. 83 (2006) 2314.

[9] P. Verdonck, M. Aresti, A. Ferchichi, E.V. Besien, B. Stafford, C. Trompoukis, D.D. Roest, M. Baklanov, Microelectron. Eng. 88 (2011) 627.

[10] N. Posseme, T. Chevolleau, T. David, J. Vac. Sci. Technol. B 25 (2007) 1928. [11] Y.L. Cheng, W.Y. Chang, Y.L. Wang, J. Vac. Sci. Technol. B 28 (2010) 573. [12] A.V. Vairagar, S.G. Mhaisalkar, A. Krishnamoorthy, Thin Solid Films 462 (2004)

325. 10-12 10-11 10-10 10-9 10-8 W ithout STD STD Remote

treatment treatment 25 s treatment 100 s treatment 25 s

Line-to-Line comb structrue MIS structure

Leakage current (A)

Fig. 6. Leakage current density at 2 MV/cm of low-kfilms after various plasma treat-ments in different test structures.

0 5 10 15 20 25 0 20 40 60 80 100 Without treatment STD plasma 25 s STD plasma 100 s Remote plasma 25 s Cumulative percent (%) Breakdown voltage (V)

Fig. 7. Distribution of voltage ramping-up to dielectric breakdown for low-kfilms with different plasma treatments.

Failure time (hrs) Cumulative failure (%) 500 100 10 1 99 95 90 80 70 60 50 40 30 20 10 5 1 STD treatment 25 s STD treatment 100 s Remote treatment 25 s

Fig. 8. EM lifetime cumulative failure distribution of Cu interconnect lines for low-k films with different plasma treatments.

數據

Fig. 1. Thickness variation of low-k films after the different plasma treatments.
Fig. 3. Carbon AES profile of low-k films after various plasma treatments.
Fig. 6. Leakage current density at 2 MV/cm of low-k films after various plasma treat- treat-ments in different test structures.

參考文獻

相關文件

Therefore, the current research evaluated oral health condition, salivary flow, and halitosis across the different stages of leprosy treatment and compared the results with those

Efficacy of low-level laser therapy compared to steroid therapy in the treatment of oral lichen planus: A

Ulrike Schulz, Peter Munzert, Norbert Kaiser, “Surface modification of PMMA by DC glow discharge and microwave plasma treatment for the improvement of coating adhesion” Surface

He proposed a fixed point algorithm and a gradient projection method with constant step size based on the dual formulation of total variation.. These two algorithms soon became

substance) is matter that has distinct properties and a composition that does not vary from sample

Define instead the imaginary.. potential, magnetic field, lattice…) Dirac-BdG Hamiltonian:. with small, and matrix

Magnetic fields in a tokamak - the toroidal field is generated by external coils, poloidal by electric current in the

In this study, we compute the band structures for three types of photonic structures. The first one is a modified simple cubic lattice consisting of dielectric spheres on the