• 沒有找到結果。

The changing effect of N-2/O-2 gas flow rate ratios on ultrathin nitrogen-enriched oxynitride gate dielectrics

N/A
N/A
Protected

Academic year: 2021

Share "The changing effect of N-2/O-2 gas flow rate ratios on ultrathin nitrogen-enriched oxynitride gate dielectrics"

Copied!
5
0
0

加載中.... (立即查看全文)

全文

(1)

Highly reliable and aggressively scaled gate dielectric films 共equivalent oxide thickness, EOT ⭐ 1.0 nm) are necessary for de-veloping complementary metal oxide semiconductor共CMOS兲 tech-nologies in the sub-50 nm regime. However, when the thickness of SiO2is reduced below 2 nm, as for ultrathin oxides, important con-cerns of gate leakage and device reliability arise.1-3For these rea-sons, alternative gate dielectrics must be considered. In the course of searching for such an alternative gate dielectric, ultrathin NH3-nitride SiO2, N2O/NO oxynitride, N/O stack, plasma-nitrided SiO2, and high-k dielectrics have been widely studied as the prom-ising replacements for thermal oxide as gate dielectrics, while main-taining a low gate leakage and increased capacitance for future sub-50 nm CMOS devices.4-18 Desirable gate dielectrics should have good uniformity, small defect density, and high dielectric strength; they should endure hot-electron injection for maintaining device reliability. As mentioned above, much work in this field has been focused on the nitridation of SiO2. NH3-nitrided SiO2 films can be effectively used to increase the proportion of incorporated N atoms; increasing the fixed charge and interface trap densities is unavoidable, due to the generation of electron traps related to -NHx, -H, and -OH bonds introduced from NH3.4The NH3-nitrided films have also been reported to show degraded mobility due to heavy nitridation and increased electron trapping.5N2O and NO have been proposed as alternatives without the disadvantages of NH3for oxi-dation and nitrioxi-dation; the resulting films exhibit favorable electrical characteristics; however they do not have enough nitrogen 共only ⬃1-2 atom %兲 at the dielectric silicon interface to prevent boron penetration.6-9The ultrathin nitride/oxide共N/O兲 stack has been in-vestigated as a promising structure for suppressing leakage current and boron penetration, while maintaining the excellent oxide/Si interface.10,11The results of such investigations indicate that dielec-tric films formed by N/O stacks have higher nitrogen concentrations in both the bulk of the film and at the dielectric-silicon interface. However, most of the proposed N/O stacks are currently thicker than 1.6 nm, making them inappropriate for future sub-50 nm ultra large scale integrated共ULSI兲 technology. Recently, remote plasma nitri-dation共RPN兲 and decoupled plasma nitridation 共DPN兲 of SiO2have been considered.12-14Although plasma nitridation reduces gate leak-age by incorporating nitrogen on the top surface of the SiO2 dielec-tric, plasma-induced damage and the fixed charge associated with nitrogen incorporation cause a large Vt shift and transconductance degradation, which represent important disadvantages of the method. Recently, HfO2and ZrO2have been considered as promis-ing high-k dielectrics. Although superior electrical characteristics of HfO2and ZrO2have been demonstrated, these high-k materials have

the disadvantage of low crystallization temperature, poor interface quality, and very poor thermal stability.15-18Various kinds of gate dielectrics have been investigated, but so far, none has been success-ful as the next generation gate dielectric to replace SiO2. It is tech-nologically important to find a near-term solution to ensure the scal-ability in CMOS technology. 35 nm gate length CMOS technology has been reported with 1.0 nm oxynitride gate dielectrics formed by base oxide formation and NO gas annealing.19It has been found that the thinning of base oxide thickness should still be effective for current drive improvement, even in the region of less than 1.0 nm base oxide thickness. However, it has been found difficult to realize both共i兲 the thinning of the physical dielectric thickness and 共ii兲 the incorporation of much more nitrogen into the gate dielectric simul-taneously by the NO gas annealing method. Hence, oxynitride growth processes that can provide defect-free SiO2/Si interface and improved electrical characteristics must be established to solve these problems and achieve an ultrathin film共physical thickness ⬍1.0 nm兲 with enhanced nitrogen incorporation. This paper develops a new technique for fabricating ultrathin oxynitride films down to a physi-cal thickness of 1 nm by RTP in a high N2but low O2gas flow rate ambient共RTNO兲 to achieve a nitrogen-rich oxynitride film with ni-trogen located away from SiO2/Si interface and to reduce the leak-age current. Then, the optimum conditions of the growth of oxyni-tride films by RTNO, and their effects on the electrical characteristics are also demonstrated.

Experimental

pMOS capacitors with high quality 1.0 nm thick RTP oxynitride film was fabricated using a compatible 0.13␮m CMOS processing technology. The 3-5⍀ cm n-type silicon 共100兲 wafers were cleaned by the standard RCA clean. The wafers were then washed in 1% HF acid immediately prior to dielectric film growth. The 1.0 nm thick nitrogen-rich gate oxynitride film was grown by RTP with a N2/O2⫽ 1/1, 3/1, 5/1, and 10/1 共slm兲 gas flow ratios at 900°C for 15 s. A schematic time-temperature profile of the RTP oxidation processing for capacitor fabrication is shown in Fig. 1. A compari-son was made with an oxide film of approximately the same thick-ness grown by RTP in pure oxygen ambient, gas flowing at 2 slm at 900°C for 10 s. Then, polysilicon film was deposited in a conven-tional low pressure chemical vapor deposition共LPCVD兲 system and doped by boron implantation 15 keV 5⫻ 1015/cm2. After activat-ing the impurities, nickel salicide was formed and borophosphosili-cate glass共BPSG兲 was deposited. Finally, 500 nm Al was deposited and patterned to provide an electrode pad. The thickness of the ultrathin oxynitride film in this work, including the RTO SiO2film, was measured using both an ellipsometer with three angles of inci-dence共65°, 70°, and 75°兲 and high-resolution transmission electron microscopy共HRTEM兲. MOS capacitors were analyzed electrically.

(2)

An HP 4156A semiconductor parameter analyzer and HP 4284A LCR meter was used to obtain the intrinsic properties of the gate dielectrics such as current-voltage (I-V), high frequency capacitance-voltage 共C-V兲 curves, and reliability on 100 ⫻ 100 ␮m2capacitors under 100 KHz signal frequency operation.

Results and Discussion

Figure 2 shows an high resolution TEM共HRTEM兲 micrograph of the oxynitride film. The physical thickness of the RTNO oxynitride films was determined by HRTEM as 1.0 nm. The HRTEM image provided sufficient contrast to discern the 1.0 nm thick oxynitride layer. The resulting oxynitride film is quite uniform and very smooth at the SiOxNy/Si interface. Uniformity is very important, since it reduces anomalously large gate leakage currents through weak points where the oxide is thinner. Smoothness is also crucial to higher carrier mobility.20Figure 3a shows the thickness of the di-electric as a function of oxidation temperature for 15 s. Clearly, the film thickness linearly increases with as the oxidation temperature increases. The oxidation rate in N2/O2mixed ambient was found to be slower than that in pure O2 ambient. Obviously, the oxidation rate decreases as the N2/O2 gas flow rate ratio increases. This is attributed to the high N2 gas flow rate dilutes the O2 oxidation ambient, and the nitrogen atoms in the oxynitride film suppress oxy-gen diffusion, reducing the oxidation rate.21Figure 3b shows the thickness of the dielectric vs. oxidation time relationship for various N2/O2gas flow rate ratios at 900°C. It can be seen that the oxida-tion rate decreases as the N2/O2 gas flow rate ratio increases for different oxidation times. The different growth rates between RTO oxidation and RTP oxynitridation with various N2/O2gas flow rate

ratios, was attributed to the block effect of nitrogen atoms in the oxynitride film, as discussed above. The time dependence of oxyni-tride thickness shows a diffusion self-limited feature, and the aver-age growth rate at 60 s共0.36 Å/s兲 is slower than that at 30 s 共0.53 Å/s兲 for a N2/O2gas flow rate ratio of 5/1共slm兲 sample at 900°C, because of the blocking effect of nitrogen. Although, a thinner 0.5 nm thick film could be obtained by RTP oxynitride when the growth temperature was below 800°C and the N2/O2gas flow rate ratio was increased to more than 5/1. However, such an ultrathin film is quite leaky and the leakage current was not acceptable for analysis, so the related data are not presented.

Figure 4 shows the high frequency C-V characteristics of p⫹-poly/oxide/n-Si MOS capacitors for oxidation conditions of N2/O2⫽ 1/1, 3/1, 5/1, 10/1, and RTO. The area of capacitor is 100⫻ 100 ␮m, and the small signal frequency is 100 KHz. It should be noted that sharp transitions are observed and the C-V curve of the RTO grown dielectric is greatly distorted since the leakage current through the dielectric is much larger than the nitrogen-incorporating oxynitride films. It is clear that the capaci-tance increases with the N2/O2gas flow rate ratio, and a commen-surate increase in drive current can be expected. The EOT ⫽ 0.86 nm of RTNO51 sample is extracted from the measured C-V in the strong accumulation region by a C-V simulator, which takes into account the quantum mechanical effect and the gate depletion Figure 1. A schematics time-temperature profile of oxynitridation

process-ing for the capacitor fabrication.

Figure 2. High-resolution cross-sectional TEM micrographs of MOS ca-pacitor with 1.0 nm thick oxynitride gate dielectric. The caca-pacitor was formed by depositing 1000 Å poly-Si/10 Å oxynitride on Si substrate.

Figure 3. Oxynitride thickness variations as a function of共a, top兲 oxidation temperature and共b, bottom兲 oxidation time.

(3)

effect.22,23Additionally, it is obvious that flatband voltage shift of the RTNO oxynitride samples are quite small, which means that few fixed charges are trapped in the oxynitride bulk and its interfaces.24 Finally, based on the agreement between the measured and calcu-lated flatband voltages, there is no measured boron transport to the oxynitride/Si interface. Figure 5 shows the current density (Jg) vs. gate voltage characteristics of p⫹-poly/oxynitride/n-silicon共100兲 ca-pacitors. Obviously, the oxynitride gate dielectric that was grown at an N2/O2gas flow rate ratio of 5/1 shows a significantly lower gate leakage current than that of RTO SiO2. The RTNO⫽ 5/1 sample has the lowest leakage current density, 0.1 A/cm2 at Vg⫽ 1.0 V, and the current density is almost 1.85 orders of magnitude lower than 6.8 A/cm2at 1 V, as for RTO SiO2. Additionally, RTNO51 provides a reduction of about one order of magnitude in the gate leakage current at 1 V⫹ VFB, as compared to that of 16 Å thick pure SiO2, even though the thickness of the oxynitride film is about 6 Å less than that of SiO2.10The leakage current reduction can be explained such that the effective mass of the tunneling electron in-creases monotonically with increasing nitrogen concentration while the dielectric constant increases monotonically.25,26Hence, the

im-provements provided by RTNO oxynitrides are thought to be due to the accumulation of nitrogen atoms. This result examines the gate leakage current as a function of the oxygen and nitrogen contents in ultrathin silicon oxynitride films. It is also reported that one striking aspect of the theoretical results is that, with as little as 10% nitrogen in the film, the direct tunneling current below 1 V can be reduced by more than two orders of magnitude compared to its pure oxide counterpart.26 Finally, a series resistance is observed in the high current density region of the Jg-V curve. This substantial series resistance at high current density should be the series resistance of the poly-gate, the ultrathin oxide, and the Si bulk substrate.

Figure 6 shows the secondary ion mass spectroscopy 共SIMS兲 depth profiles of nitrogen in the RTNO oxynitride films and the RTO SiO2film. The oxynitride films analyzed by SIMS were not capped polysilicon layers and their nitrogen concentrations were evaluated immediately after the RTP oxynitridation process was finished. The layer composition evaluated by SIMS measurement indicates that a large amount of N atoms (⬎6 ⫻ 1021atom/cm3) pile up at the top surface of the oxynitride film, and are distributed in the bulk oxyni-tride. This phenomenon proves that large amounts of nitrogen exist in the oxynitride film. The nitrogen concentrations of the RTNO ⫽ 5/1 oxynitride film and the RTO SiO2film were 1.05⫻ 1021and 2.26⫻ 1018atom/cm3, respectively, at the oxynitride/Si interface. The N concentration of the oxynitride film with RTNO⫽ 5/1 was clearly about 2.5 orders of magnitude higher than that of the SiO2 film. This observation confirmed that large amounts of nitrogen were present in the oxynitride film, which showed that almost all of the nitrogen atoms were confined near the oxynitride dielectric. No-tably, the nitrogen concentration did not increase with the N2/O2 gas flow rate ratio up to 5/1, because an excess of N2gas seriously diluted the O2 gas, causing the RTP oxynitridation process to be-come similar to the nitridation process, and the process temperature of 900°C is too low for the nitridation process. Hence, the N con-centration saturated when the N2/O2gas flow rate ratio was more than 5/1. Additionally, it is also reported that boron penetration was

suppressed enough by high nitrogen concentration (⬎1

⫻ 1021atom/cm3) oxynitride even in 1.0 nm thick oxide.27 The nitrogen concentration of RTNO51 at the oxynitride/Si interface was achieved at 1.05⫻ 1021cm⫺3, implying that the boron penetration from p⫹poly gate was enabled to be suppressed.

It is widely known that the addition of nitrogen in silicon oxide, or the addition of oxygen in silicon nitride, affects their reliability as a gate dielectrics.28,29 Figure 7 shows the stress-induced leakage Figure 4. Measured 100 KHz high frequency C-V characteristics for 1.0 nm

thick oxynitride and silicon dioxide MOS capacitors.

Figure 5. Comparison of current density vs. gate voltage characteristics of p⫹-polysilicon/oxynitride/n-Si capacitor structures with 1.0 nm thick oxyni-tride and RTO SiO2.

Figure 6. The SIMS depth profiles of nitrogen in the 1.0 nm thick RTNO oxynitride film. The ultrathin films under SIMS analyses were not capped polysilicon layer and the nitrogen concentration was evaluated immediately after the RTP oxidation process was finished.

(4)

current 共SILC兲 results after the application of constant voltage stresses of 3.0, 3.3, and 3.5 V for samples RTNO⫽ 5/1 and RTO. Fresh J-V curves were measured before the samples were stressed. The leakage current density was also monitored during stressing to ensure consistent behavior from device to device. No significant stress-induced leakage current was observed in RTNO⫽ 5/1 sample after stressing in a high electric field for 500 s. The much lower SILC of this oxynitride film can again be attributed to lower current fluence during the constant voltage stress, which in return causes less trap generation and thus a much lower SILC. Figure 8 shows the charge-trapping characteristics of n-MOS capacitors were stressed at a constant current density, 10 A/cm2, for 500 s. No sig-nificant charge trapping occurred during stress, and the RTNO ⫽ 5/1 sample exhibits less electron trapping than the RTO sample. The reduced electron trapping characteristic leads to less field buildup within the oxynitride film, resulting in prolonged device lifetime. The charge-trapping properties dependence on the N2/O2 gas flow rate ratio which is quite obvious, because, as the N2/O2 ratio becomes higher, ⌬Vg becomes smaller. Figure 9 shows the QBDcharacteristics of RTNO ultrathin oxynitride films and conven-tional RTO SiO2. p-MOS capacitors were stressed at a constant current until either the device broke or it had been stressed for

10,000 s. The QBDwas found to increase as the N2/O2 ratio was increased. The QBDof the RTNO51 sample is one order of magni-tude higher than that of the RTO SiO2counterpart. The longer time-to-breakdown for the oxynitride film is primarily attributed to its lower leakage current, which causes less damage to the dielectric and thus contributes to a longer dielectric lifetime.

Conclusions

Ultrathin oxynitride dielectric films 1.0 nm thick were produced by RTP in mixed ambient with high N2but low O2gas flow rates. Such films exhibit a lower leakage current, a larger QBD, and less charge trapping and trap generation than their RTP SiO2counterpart. The leakage current of RTNO⫽ 5/1 sample was as much as 1.85 orders of magnitude lower than that of their RTO SiO2counterpart. These results suggest that the oxynitride film may be considered as a potential candidate for alternative gate dielectric for advanced CMOS devices.

Acknowledgments

The authors thank the National Science Council of the Republic of China, Taiwan, for financially supporting this research under con-tract no. NSC-91-2215-E-009-014.

National Chiao-Tung University assisted in meeting the publication costs of this article.

References

1. J. H. Stathis and D. J. DiMaria, Tech. Dig. - Int. Electron Devices Meet., 1998, 167. 2. N. Yang, W. K. Henson, and J. J. Wortman, IEEE Trans. Electron Devices, 47, 1636

共2000兲.

3. A. Meinertzhagen, C. Petit, D. Zander, O. Simonetti, T. Maurel, and M. Jourdain, J. Appl. Phys., 91, 2123共2002兲.

4. A. Teramoto, H. Umeda, H. Tamura, Y. Nishida, H. Sayama, K. Terada, K. Kawase, Y. Ohno, and A. Shigetomi, J. Electrochem. Soc., 147, 1888共2000兲.

5. S. C. Song, H. F. Luan, C. H. Lee, A. Y. Mao, S. J. Lee, J. Gelpey, S. Marcus, and D. L. Kwong, in Tech. Dig. Symp. VLSI Technol., 1999, 137.

6. M. L. Green, E. P. Gusev, R. Degraeve, and E. L. Garfunkel, J. Appl. Phys., 90, 2057共2001兲.

7. Y. Okada, P. J. Tobin, P. Rushbrook, and W. L. DeHart, IEEE Trans. Electron Devices, ED-43, 191共1994兲.

8. Y. Suizu, M. Fukumoto, and Y. Ozawa, J. Electrochem. Soc., 148, F51共2001兲. 9. S. M. Shank, W. F. Clark, and W. J. Hodge, J. Electrochem. Soc., 149, G532

共2002兲.

10. Y. Wu, Y. M. Lee, and G. Lucovsky, IEEE Electron Device Lett., EDL-21, 116 共2000兲.

11. C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. F. Wang, T. H. Hou, Figure 7. SILC of capacitors with 1.0 nm thick oxynitride or RTO SiO2.

Figure 8. Charge-trapping characteristics by monitoring the change in gate voltage (⌬Vg) as a function of stress time.

Figure 9. Charge-to-breakdown characteristics (QBD) under constant

cur-rent stress (J⫽ 1 A/cm2). The charge to breakdown of the oxynitride film

grown in an N2/O2gas flow ratio of 5/1 is significantly improved over that

(5)

數據

Figure 4 shows the high frequency C-V characteristics of p ⫹ -poly/oxide/n-Si MOS capacitors for oxidation conditions of N 2 /O 2 ⫽ 1/1, 3/1, 5/1, 10/1, and RTO
Figure 5. Comparison of current density vs. gate voltage characteristics of p ⫹ -polysilicon/oxynitride/n-Si capacitor structures with 1.0 nm thick  oxyni-tride and RTO SiO 2 .
Figure 8. Charge-trapping characteristics by monitoring the change in gate voltage ( ⌬V g ) as a function of stress time.

參考文獻

相關文件

 If a DSS school charges a school fee exceeding 2/3 and up to 2 & 1/3 of the DSS unit subsidy rate, then for every additional dollar charged over and above 2/3 of the DSS

For pedagogical purposes, let us start consideration from a simple one-dimensional (1D) system, where electrons are confined to a chain parallel to the x axis. As it is well known

z gases made of light molecules diffuse through pores in membranes faster than heavy molecules. Differences

mass/ strength 6-12 months 2-5 years Body fat redistribution 3-6 months 2-5 years Cessation of menses 2-6 months n/a. Clitoral enlargement 3-6 months 1-2 years Vaginal atrophy

Every sequence of n 2 +1 distinct real numbers contains a subsequence of length n+1 that is either strictly increasing or strictly decreasing.

For a 4-connected plane triangulation G with at least four exterior vertices, the size of the grid can be reduced to (n/2 − 1) × (n/2) [13], [24], which is optimal in the sense

To reduce the leakage current related higher power consumption in highly integrated circuit and overcome the physical thickness limitation of silicon dioxide, the conventional SiO

To reduce the leakage current related higher power consumption in highly integrated circuit and overcome the physical thickness limitation of silicon dioxide, the conventional SiO 2