• 沒有找到結果。

Effect of UV curing time on physical and electrical properties and reliability of low dielectric constant materials

N/A
N/A
Protected

Academic year: 2021

Share "Effect of UV curing time on physical and electrical properties and reliability of low dielectric constant materials"

Copied!
9
0
0

加載中.... (立即查看全文)

全文

(1)

dielectric constant materials

Kai-Chieh Kao, Wei-Yuan Chang, Yu-Min Chang, Jihperng Leu, and Yi-Lung Cheng

Citation: Journal of Vacuum Science & Technology A 32, 061514 (2014); doi: 10.1116/1.4900854 View online: http://dx.doi.org/10.1116/1.4900854

View Table of Contents: http://scitation.aip.org/content/avs/journal/jvsta/32/6?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing

Articles you may be interested in

Effects of vacuum ultraviolet irradiation on trapped charges and leakage currents of low-k organosilicate dielectrics

Appl. Phys. Lett. 106, 192905 (2015); 10.1063/1.4921271

Effect of vacuum-ultraviolet irradiation on the dielectric constant of low-k organosilicate dielectrics Appl. Phys. Lett. 105, 202902 (2014); 10.1063/1.4901742

Effects of vacuum ultraviolet radiation on deposited and ultraviolet-cured low- k porous organosilicate glass J. Vac. Sci. Technol. A 29, 030602 (2011); 10.1116/1.3570818

Effects of UV cure on glass structure and fracture properties of nanoporous carbon-doped oxide thin films J. Appl. Phys. 104, 043513 (2008); 10.1063/1.2968438

Low dielectric constant porous diamond films formed by diamond nanoparticles Appl. Phys. Lett. 83, 2226 (2003); 10.1063/1.1609659

(2)

of low dielectric constant materials

Kai-Chieh Kao

Department of Electrical Engineering, National Chi-Nan University, Nan-Tou, Taiwan, 545661, Republic of China

Wei-Yuan Chang, Yu-Min Chang, and Jihperng Leu

Department of Materials Science and Engineering, National Chiao-Tung University, Hsin-Chu, 30050, Taiwan, Republic of China

Yi-Lung Chenga)

Department of Electrical Engineering, National Chi-Nan University, Nan-Tou, Taiwan, 545661, Republic of China

(Received 4 July 2014; accepted 20 October 2014; published 4 November 2014)

This study comprehensively investigates the effect of ultraviolet (UV) curing time on the physical, electrical, and reliability characteristics of porous low-k materials. Following UV irradiation for various periods, the depth profiles of the chemical composition in the low-k dielectrics were homogeneous. Initially, the UV curing process preferentially removed porogen-related CHxgroups

and then modified Si-CH3and cage Si-O bonds to form network Si-O bonds. The lowest dielectric

constant (k value) was thus obtained at a UV curing time of 300 s. Additionally, UV irradiation made porogen-based low-k materials hydrophobic and to an extent that increased with UV curing time. With a short curing time (<300 s), porogen was not completely removed and the residues degraded reliability performance. A long curing time (>300 s) was associated with improved me-chanical strength, electrical performance, and reliability of the low-k materials, but none of these increased linearly with UV curing time. Therefore, UV curing is necessary, but the process time must be optimized for porous low-k materials on back-end of line integration in 45 nm or below technology nodes.VC 2014 American Vacuum Society. [http://dx.doi.org/10.1116/1.4900854]

I. INTRODUCTION

As the feature sizes of integrated circuits (ICs) shrink to the submicron scale, interconnect resistance–capacitance (RC) delay begins to dominate overall device speed. To reduce RC delay time, interconnect resistance is reduced by replacing aluminum with copper (Cu), while interlayer capacitance is lowered by replacing conventional silicon dioxide SiO2(k 4.0) with low-k materials (k < 4.0).1–3 A

popular means of reducing thek-value of low-k materials to 2.5 or less, to satisfy the requirements of 45 nm or beyond technology nodes, is to introduce porosity into the film with-out changing the skeleton material.4,5The porosity of a film can be increased by adding a sacrificial porogen precursor during deposition of the low-k matrix, and then removing the porogen in a subsequent treatment, such as using ultraviolet (UV) irradiation curing, thermal annealing, or E-beam treat-ment. Generally, UV irradiation curing is widely utilized.6–8 Although many studies have investigated the effect of UV irradiation on the properties of a low-k film,8–11 few have reported the effect thereof on reliability.

This work comprehensively studies the effects of the UV curing time on the physical, electrical, and reliability proper-ties of low-k materials. The basic properproper-ties of low-k dielec-tric film, including its porosity as well as mechanical and electrical performance, were measured to clarify how UV curing time influences the chemical structure of such films. Finally, the reliability of time-dependent-dielectric breakdown (TDDB) and electromigration (EM) results for

low-k dielectrics at various UV curing times were also studied.

II. EXPERIMENT

The as-deposited porous low-k material is a SiCOH film, deposited on a p-type (100) silicon substrates by plasma-enhanced chemical vapor deposition (PECVD). The porous low-k films were deposited from diethoxymethylsilane and alpha-terpinene as a matrix and porogen precursor, respec-tively. A small amount of oxygen was also introduced as an oxidant. The deposition temperature, pressure, and power were 300C, 1.0104Pa, and 600 W, respectively. The dep-osition time was 50 s, thus producing about 300 nm thickness low-k film. After deposition, UV curing with 200–450 nm wavelength was performed at 300C in a vacuum environ-ment to remove the organic porogen for 60–1800 s. UV experiments were performed on the Applied material Producer chamber using wideband UV lamps under He/Ar atmosphere. After UV curing, metal-insulator-silicon (MIS) structures were fabricated by depositing aluminum (Al) as the metal electrodes through shadow mask method. The capacitors had an area of 30 30 lm2.

The thickness and refractive index (at a 633 nm wave-length) of blanket low-k films were analyzed using an optical-probe system with an ellipsometer (Film TekTM 3000SE). The functional groups of the low-k films were identified by Fourier transform infrared spectroscopy (FT-IR; Bio-Rad, Model 2200ME) with a resolution of 1 cm1. An average of 64 spectra in the 400–4000 cm1 range was obtained. The water contact angle (WCA) was determined as the average of five measurements (Reme Hardt, Mode a)Author to whom correspondence should be addressed; electronic mail:

(3)

100–00-230). The chemical composition of the low-k films was determined by atomic compositional depth profile anal-ysis (AES) with 5 keV Ar ion sputtering (VG Scientific Microlab 350). The hardness of the low-k films was meas-ured using a nanoindenter (MTS, Nano Indenter XP system). The sizes of the porogen in the low-k films were determined by in situ grazing incidence small-angle x-ray scattering (GISAXS). GISAXS data were obtained using an area detec-tor that covered aq range from 0.01 to 0.1 A˚1, and the inci-dent angle of the x-ray beam which had a diameter of 0.5 mm was fixed at 0.2 with an x-ray energy of 10 keV. Then, the pore size was analyzed by sphere model fitting and the application of Guinier’s law.12The electrical characteris-tics of low-k films were studied by making capacitance–volt-age measurements at 1 MHz using a semiconductor parameter analyzer (HP4280A). Leakage current density, breakdown voltage, and TDDB measurements were made at room temperature (25C) on MIS structures. The breakdown voltage/time is defined as the voltage/time associated with a sudden rise in the leakage current of at least three decades. An EM test structure with a length of 250 lm and a width of 0.062 lm was fabricated using a Cu double-layered dual damascene interconnect. After the low-k dielectric layer was deposited, it was irradiated in UV for various periods before etching of the Cu via and trench. After the Cu film had been deposited and chemical mechanical polishing performed, a 30 nm-thick dielectric barrier of SiCN was deposited on the top of the Cu lines by PECVD. In the EM test, the stress temperature was 300C at a fixed current density of 1.5 MA/cm2. Thirty samples were used in each experiment. The increase in resistance over time was monitored until fail-ure. The failure criterion was a 10% increase in resistance. More details concerning the fabrication of the test structure and EM characterization can be found elsewhere.13

III. RESULTS AND DISCUSSION

The bonding structures of the low-k materials obtained after various UV curing times were determined using FT-IR analysis and plotted in Fig.1. The typical FT-IR features of

the low-k materials included CHx stretching

(2850–3000 cm1), Si-H stretching (2150–2250 cm1), Si-CH3 deformation (1270 cm1), Si-O cagelike stretching

(1130 cm1), and Si-O network stretching (1050 cm1). The changes of these bonding structures of the low-k materials with UV curing time were observed from FT-IR spectra, and revealed that chemical reactions occurred on these films dur-ing UV irradiation. Additionally, CHxstretching, Si-CH3

de-formation, and Si-O-Si cagelike stretching all clearly became weaker as the UV curing time increased and this weakening was significant at a very short time of 60 s.

To investigate further the variation of these bonds with UV curing time, FT-IR spectra in the ranges 2850–3000 cm1, 1270 cm1, and 1000–1200 cm1 were analyzed in detail. The peak intensity of the CHx band in the range

2850–3000 cm1 strongly decreased as the UV curing time increased. This decrease is believed to be caused by the re-moval of organic species from the film and the formation the pores within the film.14Additionally, this reduction becomes significant in a very short UV curing time of 60 s. The CHx

band is composed of four hydrocarbon peaks, associated with CH3asymmetric (2970 cm1), CH2asymmetric (2925 cm1),

CH3 symmetric (2890 cm1), and CH2 symmetric

(2875 cm1) vibrations.15 In this range of the CHxband, the

FT-IR spectra of the low-k materials with various UV curing times were deconvoluted into the four peaks, and the area under each was determined, as presented in Fig. 2(a). The areas of these four peaks decrease as curing time increases, but at a declining rate. The CH3asymmetric (2970 cm1) and

CH2asymmetric (2925 cm1) peak areas are larger than the

other peak areas for the un-cured low-k materials. The peak area of the CH2 asymmetric group is significantly

reduced. This group is thought to porogen material and can be removed from the films by UV irradiation, making the films porous.16

The features of the FT-IR spectra in the region between 1000 and 1150 cm1 are attributed to various Si-O bonds. The absorption bonds at 1140 cm1, 1050 cm1, and 1030 cm1 correspond to the Si-O cage, the Si-O network, and the Si-O suboxide structures, respectively.15 The broad peak at 1000–1150 cm1 shifts to a higher wavenumber under UV irradiation. This shift is called “red-shift,”17 reflecting that an increase in the proportion of the structure that is the Si-O-Si matrix (SiO2-like). The peak areas of the

Si-O cage, Si-O network, and Si-O suboxide were deter-mined by the deconvolution of FT-IR spectrum in the region between 1000 and 11 500 cm1, as presented in Fig. 2(b). The peak area of the Si-O cage decreases monotonically with increasing UV curing time and the declining rate becomes slower as the UV curing time is larger than 300 s. For Si-O suboxide bond, the peak area slightly decreases as curing time increases and then remains unchanged (>300 s). The Si-O network peak area increases monotonically with UV curing time up to 300 s, and thereafter increases only slightly. These results reveal that the Si-O cage struc-ture is transformed to the Si-O network strucstruc-ture during a short period of UV curing (<300 s), but this transformation becomes much less significant at longer UV curing times. FIG. 1. (Color online) FT-IR spectra of low-k materials with various UV

cur-ing times.

(4)

The peak area of the Si-CH3 deformation (1270 cm1)

was also analyzed, as presented in Fig. 2(c). The peak area of the Si-CH3 bond decreases as the UV curing time

increases, revealing that the Si-CH3 bonds in the porous

low-k materials are broken by UV irradiation. These CH3

groups in the low-k materials cause the film to be hydropho-bic and with a low dielectric constant. Therefore, porous

low-k materials that are cured under UV for a longer time lose hydrophobicity to a greater extent and their dielectric constant is increased more. Additionally, the peak of the Si-CH3bond was found to shift to a higher wavenumber. This

absorption bond shifts to higher wavenumbersas the degree of oxidation of the Si atoms increases.18The three most basic possibilities for the configurations are monosubstitution (O-Si-(CH3)3), disubstitution (O¼ Si-(CH3)2), and

trisubsti-tution (O Si-CH3) of the silicon atom by oxygen.

19

Therefore, for low-k materials that are cured under UV for a longer time, the shift of the Si-CH3bond is explained by the

presence of fewer O¼ Si-(CH3)2 and O-Si-(CH3)3 groups

and more O3-Si-CH3 groups, revealing that an increase in

cross-linking by the incorporation of more oxygen atoms into the film.

Figure3plots the shrinkage of the film and the variation of the refractive index of low-k materials as functions of UV curing time. UV irradiation for up to 300 s greatly reduces the film thickness and refractive index, which continue to decline slowly with curing time thereafter. For the low-k materials with a UV curing time of 600 s, the final thickness shrinkage and refractive index are 16.8% and1.36, respec-tively. The pronounced reduction in the film thickness and refractive index in the first 300 s of UV curing reveals that most of the organic porogen within the low-k materials was removed in this period. The reduction of thickness following the removal of the organic porogen is caused by structural modifications, as Si-O cage bonds are transformed into the Si-O network structures.

After irradiation under UV, the WCA measurements were made to determine the hydrophilization of the low-k films. Figure 4presents the results. It is reported that the film sur-face is hydrophobic if its WCA exceeds 85.18The uncured low-k materials have a lower WCA (70) than the cured materials herein, indicating that the porogen-containing low-k materials without UV irradiation were less hydrophobic. When the low-k materials were irradiated by UV light, their WCA increased to 91.5 and then decreased with UV curing time, suggesting that the porous low-k materials thus formed became hydrophobic and lost their hydrophobicity as UV curing time increased beyond 300 s. This results indicates

FIG. 2. (Color online) (a) Deconvoluted peak area for four CHxpeak in FT-IR spectra ranging from 2800 to 3050 cm1as a function of UV curing time. (b) Deconvoluted peak area for three Si-O peak in FT-IR spectra ranging from 1000 to 1200 cm1as a function of UV curing time. (c) Peak area for Si-CH3bond as a function of UV curing time.

FIG. 3. (Color online) Thickness shrinkage and the refractive index variation

(5)

that the removal of porogen helped to increase the WCA, the Si-CH3 bonds, which keep the film hydrophobic, were

removed by UV irradiation. However, porous low-k materi-als that had been cured under UV for 1800 s had a WCA value that exceeded those of the un-cured samples.

Figure5plots the hardness (H) of the low-k materials as a function of UV curing time. To prevent a substrate effect on the low-k materials, nanoindentation measurements were made on films with a thicknesses of greater than 600 nm. The hardness of the as-deposited low-k materials is 0.78 GPa and increases monotonically with UV curing time, and only slightly after the UV curing time passes 600 s. The hardness of the porous low-k materials is important to their successful integration into back-end of line (BEOL) for CMOS technol-ogy.20 Therefore, to simulate the multilayer process in BEOL fabrication, deposited low-k materials with various UV curing times were polished for 30 s by chemical mechan-ical polishing (CMP). The CMP experiments were per-formed on the Applied Materials MIRRA platform using an IC1010 pad and Cu CMP slurry with apH value of 10.7. The polishing conditions were head-down force of 2.5 psi, a platen speed of 97 rpm, and a carrier speed of 103 rpm. After five cycles, optical microscopic observations were made to check the peeling status, which is also presented in Fig.5. At

a UV curing time of less than 300 s for the low-k materials, peeling was observed. Peeling was worse at shorter UV cur-ing times. Additionally, the wafer exhibited peelcur-ing-free for the low-k materials with a UV curing time of greater than 300 s, indicating that the minimum hardness for integration of the porous low-k film into BEOL is 1.2 GPa.

Table Ipresents the concentrations of C, O, and Si ele-ments in the obtained low-k materials with various curing times, as determined from the AES analysis. In this analysis, hydrogen (H) atoms cannot be detected. The depth profile of each low-k material with any UV curing time reveals a varia-tion in the concentravaria-tion of each element is less than 2%, which is within the experimental error, indicating that the UV-cured low-k materials were homogeneous. To study the effect of UV curing time on the composition of low-k materi-als, the results were normalized to the Si content based on the assumption that the Si content of the low-k materials is unchanged by UV irradiation. Much of the C element is removed during the initial period of UV curing, demonstrat-ing that most of the porogen is removal at the beginndemonstrat-ing of the UV curing. As the UV curing time increases past 300 s, the C element continues to be removed, but the rate is not considerable. This continuous reduction in the C element is caused by the gradual removal of CH3groups from the film,

consistent with the FT-IR analysis.

The size of porogen in the porous low-k materials with various UV curing times were characterized by in situ GISAXS. GISAXS scattering patterns yield the porogen sizes in the porous low-k materials that had been cured with UV for various times between 60 and 1800 s. A particulate system was used to analyze the scattering data by treating the pores in the matrix as the particles in the low-k film. When the particle concentration is low, the scattering of the pores in the matrix does not mutually interact. Therefore, the scattering intensity of an individual particle,I(q), is given by

IðqÞ ¼ npðqp qmÞ 2

V2pPðqÞSðqÞ; (1)

where the wave vector q¼ 4pk1 sin h is function of the wavelength k and the scattering angle 2h of x-rays, npis the

number density of particles, qpand qmare the scattering

den-sities of the particles and the matrix, respectively, Vpdenotes

the volume of a particle, P(q) is a form factor, and S(q) is a structural factor. For a low-concentration system, the struc-tural factor S(q) is close to 1. Accordingly, the scattering profile of I(q) is related only to the form factor P(q) of the FIG. 4. WCA variation of low-k materials as a function of UV curing time.

FIG. 5. (Color online) Hardness values of low-k materials as a function of

UV curing time.

TABLEI. Relative chemical concentrations of C and O elements for low-k

materials with various UV curing times, considering a constant Si content elements. UV curing time (s) C O Si As dep. 2.59 1.56 1 60 1.12 1.72 1 300 0.99 1.78 1 600 0.91 1.86 1 900 0.87 1.86 1 1800 0.86 1.83 1

(6)

particles. Therefore,I(q) can be reduced to Guinier’s expres-sion,12,21,22 which involves radius of gyration Rg and is

given by

IðqÞ ¼ npðqp qmÞ 2

V2pexpðq2R2

g=3Þ: (2)

In this equation, ln(I) is linearly related to q2with a slope of (Rg2/3). From the plot of ln(I) against q2obtained from the

2D GISAXS scattering patterns, the Rgvalues of the low-k

materials that were irradiated for various times can be extracted. If assuming the shape of the porogen in the low-k materials is close to a sphere, then the pore size d can be determined asd¼ 2(5/3)1/2R

g.23TableIIpresents the

calcu-lated Rgvalues and the deduced pore size (d) for low-k

mate-rials that had been cured for various UV curing times. As indicated, the calculated pore size of the low-k materials with a UV curing time of 300 s is 1.24, which is similar to the value obtained byChang et al. using ellipsometric poros-imetry.24As the UV curing time increased beyond 300 s, the pore size of the UV-irradiated low-k materials increased. This behavior is explained using the microstructure model that was proposed by A. Zenasni et al.,10 in which Si-CH3

bonds inside the pores are broken by UV photons, enlarging the pores.

To study the effect of UV curing time on the thermal sta-bility and chemical resistance of the low-k materials, the samples were annealed in N2ambient at 450C for 1 h and

were immersed in a 1% HF solution for 60 s, respectively. Figure 6 presents the shrinkage of thickness upon thermal annealing and the etching rate in a 1% HF solution. As shown, thermal annealing shrank all low-k materials. The reduction of thickness for un-cured low-k materials was pro-nounced. Additionally, the thickness shrinkage decreased as the UV curing time increased, indicating that low-k materials that were irradiated with UV for longer exhibited better ther-mal stability. The dependence of the etching rate on the UV curing time was unique. The low-k materials that were cured under UV for 60 s had the highest etching rate. The etching rate decreased and then slightly increased as the UV curing time increased beyond 60 s. The etching rate of the porous low-k films reportedly depends not only on the porosity and the bonding structure, but also on the concentration of the surface active sites that can absorb the active component form the HF etching solution because porous low-k film etching is a heterogeneous process.25The porogen within the low-k films with a UV curing time of 60 s reacted with UV

light, but the residues thus formed were not completely removed from the films. The residues provided more active sites to absorb the active component from the HF etching solution, resulting in the highest etching rate. The etching rate of porous low-k materials slightly increased with UV curing time beyond 300 s in spite of their higher density, possibly owing to the formation of the Si-O network and the extraction of the Si-CH3bonds, because Si-O bonds are

eas-ily etched by fluorine ions and the protection against attack by HF molecules that is afforded by large methyl groups (steric effect) is reduced.

Figure7plots the dielectric constant of the low-k materi-als as a function of UV curing time. The dielectric constant of the low-k films was estimated from the accumulation capacitance of the MIS structure. As presented, the dielectric constant reaches a minimum at a curing time of 300 s. As the UV curing time is increased further, the dielectric constant increases. This unique behavior is attributable to the compe-tition between porogen removal and Si-O network forma-tion/Si-CH3 bond extraction under UV irradiation. At short

UV curing times (<300 s), porogen removal dominates, reducing the dielectric constant. At long curing times (>300 s), porogen has been completely removed and UV

TABLEII. Radius of gyration (Rg) and estimated pore size (d) of low-k mate-rials with various UV curing times. N/D: Not detectable.

UV curing time (s) Radius of gyration Rg(nm) Pore sized (nm)

As dep. N/D N/D 60 0.18 0.46 300 0.48 1.24 600 0.55 1.42 900 0.64 1.65 1800 0.61 1.57

FIG. 6. (Color online) Thickness shrinkage after 450C thermal annealing of

1 h and the etching rate by immersion in a 1% HF solution of 1 min for low-k materials with various UV curing times.

FIG. 7. Dielectric constants of low-k materials as a function of UV curing

(7)

curing induces the formation of the Si-O network and the extraction of Si-CH3 bonds, increasing the dielectric

constant.

The leakage current density versus the electric-field was measured from the MIS structure with low-k materials with various UV curing times. Ramp-voltage stress measurements were made. Low-k materials with UV irradiation exhibited similar behaviors of leakage current density versus the electric-field as those that had not been irradiated. As the electric-field was increased, the leakage current density increased linearly at a low electric-field, before reaching a plateau. Finally, the leakage current density suddenly increased, reaching a value of approximately 102 A/m2. This electric-field is defined as the dielectric breakdown electric-field. Figure 8 compares the leakage current den-sities at 1 and 2 MV/cm and the dielectric breakdown electric-field of the porous low-k materials with various UV curing times. The leakage current densities of the low-k materials with UV irradiation are lower than those of the low-k films without UV irradiation. The low-k materials with a UV curing time of 300 s exhibited the lowest leakage current density, suggesting that the porogen in the low-k films acted as a leakage conduction path. The leakage cur-rent densities of the porous low-k materials increased slightly with the UV curing time beyond 300 s. Low-k films that have undergone longer UV curing are postulated to be hydrophilic and easily absorb moisture, which provides ionic conduction pathways by releasing mobile ions (Hþ, OH–).26

To investigate the effect of the UV curing time on the long term reliability of low-k dielectric, TDDB was per-formed to measure the dielectric breakdown times. The cu-mulative probability of the TDDB results was plotted using a Weibull distribution.27The characteristic breakdown time (T63.2%) is that at which 63.2% of failure has occurred and is

obtained by Weibull distribution analysis. Figure9plots the T63.2%values of the low-k materials with various UV curing

times as a function of the stress electric-field. The result for low-k materials without UV curing is also presented for ref-erence. The dielectric failure time decreases with increasing the UV curing time up to 300 s at a fixed electric-field, suggesting that the pores in the low-k films degrade the

reliability. The dielectric failure time of porous low-k mate-rials increases significantly with UV curing time beyond 300 s. To shorten the testing time, low-k materials with UV curing times of 600 and 1800 s were stressed with an increas-ing electric-field. Low-k materials with UV curincreas-ing times of 600 and 1800 s can tolerate a higher electric-field than those with UV curing times of under 300 s for similar stress times. Additionally, the low-k materials with a UV curing time of 600 s had the longest failure time, indicating that excessive UV curing time degraded TDDB reliability. This behavior is postulated to be caused by the fact that UV photons damage the bonds within the low-k films. To compare the lifetimes of low-k films with various UV curing times at a lower electric-field, the electric-field power-law model was used to predict,28as described by

T63:2% ¼ A Ec; (3)

where A is an arbitrary constant, E represents the stress electric-field, and c is an electric-field acceleration factor. The c values of the low-k materials with various UV curing times can be obtained from Fig. 9. TableIIIpresents the c values and predicted lifetimes at 1 and 3.3 MV/cm. The c values of low-k materials with UV curing times of under 300 s are similar within the experimental error and lower than those of the samples with the UV curing times of 600 and 1800 s. The low-k materials with a UV curing time of 600 s had the largest c value, and so had a longer failure time than those with a UV curing time of 1800 s at a lower electric-field.

Figure10plots the cumulative distribution of EM lifetime for a typical 40 nm technology node Cu interconnect line with a width of 0.062 lm. The tested EM structure used was up-stream pattern, with the electron flow from the via to the line.13The lognormal cumulative failure distribution is plot-ted by measuring the failure times of 30 samples. The inter-face of the Cu line reported dominates the EM performance.29EM reliability is also influenced by the inte-gration of the interlayer dielectric (ILD), which primarily affects the backflow velocity due to the stress gradient. FIG. 8. (Color online) Leakage current densities of the porous low-k

materi-als at 1 and 2 MV/cm as a function of the UV curing time.

FIG. 9. (Color online) Time-to-dielectric-breakdown of low-k materials with

various UV curing times as a function of stress electric-field.

(8)

When ILD has a larger elastic module, a larger back stress develops in the ILD. Hence, the higher backflow velocity compensates for the EM drift velocity, reducing the net drift velocity of the Cu ions.30This effect suppresses the forma-tion of electron-induced voids and increases the EM failure time. As indicated, the EM lifetime of the Cu lines increases with the UV curing time of the low-k dielectric. This result is consistent with the mechanical results. However, the increase in the EM lifetime with UV curing time beyond 300 s is not pronounced. This result also demonstrates that the thermomechanical confinement of Cu by the surrounding low-k dielectric importantly affects EM performance. More interestingly, all irradiated low-k dielectrics, except for the low-k dielectrics with a UV curing time of 60 s, exhibited a single-mode EM distribution, revealing that the failure occurred at the interface between the Cu line and the capping dielectric, as verified by scanning-electron microscopy (SEM). For low-k dielectrics with a UV curing time of 60 s, the EM failure distribution was bimodal with an early failure rate of approximately 15%. The intrinsic failure mode was the same as that at the Cu/capping dielectric interface. However, the failure location of the early failure samples occurred at the bottom of the via. According to energy dis-persive x-ray spectrometry analysis, the carbon signal was higher, possibly resulting from the porogen residues that were not removed by the via-etching process. Therefore, the remaining porogen residues degraded the adhesion ability of the Cu interconnects and accelerated EM failure.

IV. CONCLUSIONS

The effects of UV curing time on the properties of low-k dielectrics were comprehensively studied. UV curing ini-tially removed porogen-related CHxgroups, resulting in the

formation of pores within the low-k dielectric films, reducing thek value. When the UV curing time were too short, not all of the porogen or the formed residues were removed, so the electrical performance and reliability were degraded. When the UV curing time was long enough, the UV curing process preferentially removed the CH3groups and densified the

Si-O network, increasing the elastic modulus, the k value, and the film’s hydrophilicity. The electrical performance and reliability were enhanced, but they did not increase linearly with UV curing time. Therefore, UV curing is necessary, but the process time must be optimized for porous low-k dielec-trics on BEOL integration in sub-45 nm nodes.

ACKNOWLEDGMENTS

The authors would like to thank the National Science Council of the Republic of China, Taiwan, for financially supporting this research under Contract No. NSC-102-2221-E-260-009. Ted Kony is appreciated for his editorial assistance.

1A. Grill,Annu. Rev. Mater. Res.39, 49 (2009).

2K. Maex, M. R. Baklanov, D. Shamiryan, F. Lacopi, S. H. Brongersma, and Z. S. Yanovitskaya,J. Appl. Phys.93, 8793 (2003).

3

T. Furusawa, D. Ryuzaki, R. Yoneyama, Y. Homma, and K. Hinode, J. Electrochem. Soc. 148, F175 (2001).

4Y. Uchida, S. Hishiya, N. Fujii, K. Kohmura, T. Nakayama, H. Tanaka, and T. Kikkawa,Microelectron. Eng.83, 2126 (2006).

5

V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet, J. P. Simon, and A. Humberte,J. Electrochem. Soc.154, G103 (2007). 6A. Grill and V. Patel,J. Appl. Phys.

104, 024113 (2008). 7

F. Iacopiet al.,J. Appl. Phys.99, 053511 (2006). 8

N. Kemeling, K. Matsushita, N. Tsuji, K. I. Kagami, M. Kato, S. Kaneko, H. Sprey, D. D. Roest, and N. Kobayashi,Microelectron. Eng.84, 2575 (2007). 9C. K. Choi, C. Y. Kim, R. Navamathavan, H. S. Lee, J. K. Woo, M. T.

Hyun, H. J. Lee, and W. Y. Jeung,Curr. Appl. Phys.11, S109 (2011). 10

A. Zenasni, B. Remiat, C. Waldfried, C. L. Cornec, V. Jousseaume, and G. Passemard,Thin Solid Film516, 1097 (2008).

11G. Imbert, D. Vo-Than, C. Trouiller, and F. Lorut,J. Appl. Phys. 114, 224110 (2013).

12

A. Guinier, Ann. Phys. 12, 161 (1939).

13Y. L. Cheng, W. Y. Chang, and Y. L. Wang,J. Vac. Sci. Technol., B28, 573 (2010).

14

P. Verdoncket al.,Surf. Coat. Technol.201, 9264 (2007). 15

A. Grill and D. A. Neumayer,J. Appl. Phys.94, 6697 (2003).

16C. H. Huang, N. F. Wang, Y. Z. Tsai, C. I. Hung, and M. P. Houng, Microelectron. Reliab.87, 1735 (2010).

17

Y. Iba, S. Ozaki, M. Sasaki, Y. Kobayashi, T. Kirimura, and Y. Nakata,

Microelectron. Eng.87, 451 (2010).

18A M. Urbanowicz, K. Vanstreels, P. Verdonck, E. V. Besien, T. Christos, D. Shamiryan, S. D. Gendt, and M. R. Baklanov,J. Vac. Sci. Technol., B

29, 032201 (2011).

TABLEIII. Extracted electric-field acceleration factors (c) and predicted lifetime at 1 and 3.3 MV/cm for low-k materials with various UV curing times.

UV curing time (s) Electric-field acceleration factor (c) Lifetime at 3.3 MV/cm (s) Lifetime at 1.0 MV/cm (s)

As dep. 22.19 6 1.28 1.21Eþ 06 2.44Eþ 10

60 21.79 6 1.5 6.44Eþ 05 7.60Eþ 09

300 21.58 6 3.38 3.28Eþ 05 3.06Eþ 09

600 40.35 6 4.61 4.44Eþ 10 8.89Eþ 16

1800 30.02 6 4.31 1.09Eþ 09 1.70Eþ 14

FIG. 10. (Color online) Cumulative probability of EM failure time for low-k

(9)

19

D. D. Burkey and K. K. Gleason,J. Appl. Phys.93, 5143 (2003). 20

Y. Shioya, T. Ohdaira, R. Suzuki, Y. Seino, and K. Omote,J. Non-Cryst. Solids354, 2973 (2008).

21Y. H. Chen, U. S. Jeng, and J. Leu, J. Electrochem. Soc. 158, G52 (2011).

22

D. J. Kinning and E. L. Thomas,Macromolecules17, 1712 (1984). 23

L. A. Feigin and D. I. Svergun,Structure Analysis by Small Angle X-ray and Neutron Scattering (Plenum, New York, 1987).

24Y. M. Chang, W. Y. Chang, J. F. Huang, J. Leu, and Y. L. Cheng,Thin Solid Films528, 67 (2013).

25

S. Godavarthi, Q. T. Le, P. Verdonck, S. Mardani, K. Vanstreels, E. V. Besien, and M. R. Baklanov,Microelectron. Eng.107, 134 (2012). 26

J. Michelon and R. J. O. M. Hoofman,IEEE Trans. Device Mater. Reliab.

6, 169 (2006).

27M. T. Nichols, H. Sinha, C. A. Wiltbank, G. A. Antonelli, Y. Nishi, and J. L. Shohet,Appl. Phys. Lett.100, 112905 (2012).

28

J. Noguchi,IEEE Trans. Electron Devics52, 1743 (2005).

29A. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy,Microelectron. Reliab.44, 747 (2004).

30

I. A. Blech,J. Appl. Phys.47, 1203 (1976).

數據

Figure 3 plots the shrinkage of the film and the variation of the refractive index of low-k materials as functions of UV curing time
Figure 5 plots the hardness (H) of the low-k materials as a function of UV curing time
Figure 7 plots the dielectric constant of the low-k materi- materi-als as a function of UV curing time
Figure 10 plots the cumulative distribution of EM lifetime for a typical 40 nm technology node Cu interconnect line with a width of 0.062 lm

參考文獻

相關文件

– The futures price at time 0 is (p. 278), the expected value of S at time Δt in a risk-neutral economy is..

The performance guarantees of real-time garbage collectors and the free-page replenishment mechanism are based on a constant α, i.e., a lower-bound on the number of free pages that

6 《中論·觀因緣品》,《佛藏要籍選刊》第 9 冊,上海古籍出版社 1994 年版,第 1

Wang, Solving pseudomonotone variational inequalities and pseudocon- vex optimization problems using the projection neural network, IEEE Transactions on Neural Networks 17

Then, we tested the influence of θ for the rate of convergence of Algorithm 4.1, by using this algorithm with α = 15 and four different θ to solve a test ex- ample generated as

Particularly, combining the numerical results of the two papers, we may obtain such a conclusion that the merit function method based on ϕ p has a better a global convergence and

The CME drastically changes the time evolution of the chiral fluid in a B-field. - Chiral fluid is not stable against a small perturbation on v

Define instead the imaginary.. potential, magnetic field, lattice…) Dirac-BdG Hamiltonian:. with small, and matrix