• 沒有找到結果。

In this thesis, the effects of capping a PECVD SiN layer over the gate of NMOSFETs on the device characteristics and hot-carrier degradation are investigated.

Several important phenomena are observed and summarized as follows.

The channel strain induced by the SiN capping layer over the gate enhances the device performance greatly by boosting the drive current. As SiN capping layers increases, the device performance becomes better due to the increase of tensile stress exerted on the channel. For example, in the device with the thickest SiN capping layer (SiN-3kÅ) explored in this study, drain current enhancement ratio of more than 17%

and the transconductance enhancement ratio of more than 29% are achieved at a channel length of 0.4μm. The poly depletion effect, a phenomenon that we encountered in previous study on devices capped with LPCVD SiN, is eliminated in this work with the PECVD process. This is attributed to the negligible thermal budget of the PECVD deposition so that the activated carriers concentration in the poly-Si gate is not affected.

However, the band-gap narrowing effect caused by channel strain will result in worsened threshold voltage roll-off characteristics.

The hot carrier degradation is greatly affected by the channel strain level of the SiN capped devices. With the increasing channel strain associated with increasing SiN thickness, the accompanying band-gap narrowing and the increasing carrier mobility will tend to worsen the hot carrier reliability in the SiN capped devices. The interface states caused by the breaking of Si-H bonds at the oxide/channel interface by hot carriers also lead to performance degradation.

In this work, tensile stress could be enhanced by increasing SiN thickness and

therefore device performance could be boosted, especially for short channel devices.

However, the hot carrier reliability was also degraded owing to the increase in mobility and the use of hydrogen-containing precursors during the deposition of SiN capping layers. How to reduce the hydrogen content in the SiN without compromising the tensile stress is essential to the implementation of uniaxial-strain NMOS devices.

References

[1] S.H. Olsen, K.S.K. Kwa, L.S. Driscoll, S. Chattopadhyay and A.G. O’Neill,

“Design, Fabrication and Characterisation of Strained Si/SiGe MOS Transistors”, IEE Proc.-Circuits Devices Syst., Vol. 151, No. 5, pp.431-437, October 2004.

[2] Ryuji Ohba and Tomohisa Mizuno, “Nonstationary Electron/Hole Transport in Sub-0.1µm MOS Devices: Correlation with Mobility and Low-Power CMOS Application,” in IEEE Trans. on Electron Devices, vol. 48, pp. 338-343, Feb. 2001.

[3] Mark S. Lundstrom, “On the Mobility Versus Drain Current Relation for a Nanoscale MOSFET,” in IEEE Electron Device Lett., vol. 22, pp. 293–295, Jun.

1994.

[4] Dimitri A. Antoniadis, “MOSFET Scalability Limits and “New Frontier”

Devices,” in Symp. VLSI Tech. Dig., 2002, pp. 2–5.

[5] Q.Q.Lo, D. L. Kwong, “Reliability Characteristics of Metal-oxide-semiconductor Capacitors with Chemical Vapor Deposited Ta2O5 Gate Dielectrics,” in Appl. Phys.

Lett. 62, pp.975-977, 1993.

[6] S. Takagi, T. Mizuno, T. Tezuka, N. Sugiyama, T. Numata, K. Usuda, Y. Moriyama, S. Nakaharai, J. Koga, A. Tanabe, N. Hirashita, and T. Maeda, “Channel Structure Design, Fabrication and Carrier Transport Properties of Strained-Si/SiGe-on Insulator (Strained-SOI) MOSFETs”, IEDM Technical Digest, pp.57-60, 2003.

[7] S. E. Thompson, M. Armstrong, C.Auth, S. Cea, R, Chau, G. Glass, T, Hoffman, J.

Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S.

Tyagi, T. Ghani, K. Mistry, M. Bohr, and Y. El-Mansy, “A Logic Nanotechnology Featuring Strained-Silicon”, IEEE Trans. on Electron Devices, Vol. 25, pp.191-193, 2004.

[8] W. Zhao, J. He, R. E. Belford, L. Wernersson, and A. Seabaugh, “Partially Depleted SOI MOSFETs under Uniaxial Tensile Strain”, IEEE Trans. on Electron Devices, Vol. 51, pp.371-323, 2004.

[9] J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G.. Xia, T. Drke, E. A. Fitzgerald, and D. A. Antoniadis, “Strained Silicon MOSFET Technology”, IEDM Tech. Dig., pp.23-26, December 2002.

[10] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G.. Glass, T. Hoffmann, K.

Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M.

Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, and M. Bohr, “A 90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors”, IEDM Tech. Dig., pp.978-980, December 2003.

[11] S. Pidin, T. Mori, K. Inoue, S. Fukuta, N. Itoh, E. Mouth, K. Ohkoshi, R.

Nakamura, K. Kobayashi, K. Kawamura, T. Saiki, S. Fukuyama, S. Satoh, M. Kase, and K. Hashimoto, “A Novel Strain Enhanced CMOS Architecture Using Selectively Deposited High Tensile and High Compressive Silicon Nitride Films”, in IEDM Tech. Dig., pp.213-216, 2004.

[12] E. Li, E. Rosenbaum, J. Tao, and P. Fang, “Projecting lifetime of deep submicron MOSFETs”, IEEE Trans. on Electron Devices, Vol.48, pp. 671-678, Apr. 2001.

[13] T. Mizuno, N. Sugiyama, T. Tezuka, T. Numata, T. Maeda, and S. Takagi, “Design for Scaled Thin Film Strained-SOI CMOS Devices with Higher Carrier Mobility”, IEDM Technical Digest, pp.31-34, 2002.

[14] Sugii, N. Hisamoto, D. Washio, K. Yokoyama, N. Kimura, S., “Enhanced Performance of Strained Strained-Si MOSFETs on CMP SiGe Virtual Substrate,”

in IEDM Tech. Dig., 2001, pp. 737-740.

[15] K. Rim, J. Chu, H. Chen, K.A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu,

“Characteristics and Device Design of Sub-100-nm Strained-Si N- and PMOSFETs,” in Symp. VLSI Tech. Dig., 2002, pp. 98–99.

[16] Mizuno, T. Sugiyama, N. Tezuka, T. Numata, T. Maeda, T. Takagi, S. , “Design for Scaled Thin Film Strained-SOI CMOS Devices with Higher Carrier Mobility,”

in IEDM Tech. Dig., 2002, pp. 31-34

[17] K, Rim. Hoyt, J.L. Gibbons, J.F. “Transconductance Enhancement in Deep Submicron Strained Si n-MOSFETs,” in IEDM Tech. Dig., 1998, pp. 707-710 [18] S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N.

Ikezawa, T. Suzuki, T. Saitoh, and T. Horiuchi, “Mechanical Stress Effect of Etch-stop Nitride and Its Impact on Deep Submicron Transistor Design”, IEDM Tech. Dig., pp.247-250, December 2000.

[19] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, and F. Ootsuka, “Local Mechanical-Stress Control (LMC): A New Technique for CMOS-performance Enhancement”, IEDM Tech. Dig., pp. 433 - 436, December 2001.

[20] G. Scott, J. Lutze, M. Rubin, F. Nouri, and M. Manley, “NMOS Drive Current Reduction Caused by Transistor Layout and Trench Isolation Induced Stress”, IEDM Tech. Dig., pp.827-830, December 1999.

[21] T. Matsumoto, S. Maeda, H. Dang, T. Uchida, K. Ota, Y. Hirano, H. Sayama, T.

Iwamatsu, T. Ipposhi, H. Oda, S. Maegawa, Y. Inoue, and T. Nishimura, “Novel SOI Wafer Engineering Using Low Stress and High Mobility CMOSFET with

<100>-channel for Embedded RF/analog Applications,” IEDM Tech. Dig., pp.663-666, December 2002.

[22] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G.. Glass, T. Hoffmann, K.

Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M.

Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, and M. Bohr, “A

90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors”, IEDM Tech. Dig., pp.978-980, December 2003.

[23] A. Steegen, M. Stucchi, A. Lauwers, and K. Maex, “Silicide Induced Pattern Density and Orientation Dependent Transconductance in MOS Transistors”, IEDM Tech. Dig., pp.497-500, December 1999.

[24] S. Maikap, M. H. Liao, F. Yuan, M. H. Lee, C. Huang, S. T. Chang, and C. W.

Liu, ”Package-strain-enhanced Device and Circuit Performance”, IEDM Tech.

Dig., pp.233-236, December 2004.

[25] C. Ge, C. Lin, C. Ko, C. Huang, Y. Huang, B. Chan, B. Perng, C. Sheu, P. Tsai, L.

Yao, C. Wu, T. Lee, C. Chen, C. Wang, S. Lin, Y. Yeo, and C. Hu,

“Process-strained Si (PSS) CMOS Technology Featuring 3D Strain Engineering”, IEDM Tech. Dig., pp.73-76, December 2003.

[26] C. Zhi-Yuan, M. T. Currie, C. W. Leitz, G. Taraschi, E. A. Fitzgerald, J. L. Hoyt, and D. A. Antoniadis, “Electron Mobility Enhancement in Strained-Si n-MOSFET Fabricated on SiGe-on-insulator (SGOI) Substrates”, IEEE Electron Device Lett., vol.22, pp.321-323, July 2001.

[27] J. Welser, J. L. Hoyt, S. Takagi, and J. F. Gibbons, “Strain Dependence of the Performance Enhancement in Strained-Si NMOSFETs”, IEDM Technical Digest, pp.373-376, 1994.

[28] C. W. Leitz, M. T. Currie, M. L. Lee, Z.-Y. Cheng, D. A. Antoniadis and E. A.

Fitzgerald, “Hole Mobility Enhancements and Alloy Scattering-limited Mobility in Tensile Strained Si/SiGe Surface Channel Metal–oxide–semiconductor Field-effect Transistors”, J. Appl. phys., Vol.92, pp.3745-3751, 2002

[29] S. E. Thompson, G. Sun, K. Wu, J. Kim, and T. Nishida, “Key Differences for Process-induced Uniaxial vs. Substrate-induced Biaxial Stressed Si and Ge

Channel MOSFETs”, IEDM Tech. Dig., pp.221-224, December 2004.

[30] J. Goo, Q. Xiang, Y. Takamura, F. Arasnia, E. N. Paton, P. Besser, J. Pan, and M.

Lin, “Band Offset Induced Threshold Variation in Strained-Si nMOSFETs”, IEEE Electron Device Lett., Vol. 24, pp.568-570, September 2003.

[31] C. Y. Lu, “A Study of Drive Current Enhancement Methods and Related Reliability Issues for MOSFETs”, A Dissertation in Department of Electronics Engineering &

Institute of Electronics in NCTU, pp.122-148, 2006.

[32] J.S. Brugler, and P.G.A. Jespers, “Charge Pumping in MOS Devices”, IEEE Trans.

on Electron Devices, vol. 16, pp. 297-302, March 1969.

[33] P. Heremans, J. Witters, G. Groeseneken,and H. E. Maes, “Analysis of the Charge Pumping Technique and Its Application for the Evaluation of the MOSFET Degradation”, IEEE Trans. on Electron Devices, Vol. 36, No. 7, pp. 1318-1335, 1989.

[34] G. Groeseneken, H. E. Maes, N. Beltran, and R. F. De Kecrsmaecker, “A Reliable Approach to Charge-pumping Measurements in MOS Transistors”, IEEE Trans. on Electron Devices, Vol. ED-31, pp. 42-53, 1984.

[35] 林宏年, 呂嘉裕, 林鴻志, 黃調元, “局部與全面形變矽通道 (strained Si channel) 互補式金氧半 (CMOS) 之材料、製程與元件特性分析 (I)”, 奈米通 訊第十二卷第一期

[36] C. Chen, and T. P. Ma, “Direct Lateral Profiling of Hot-carrier-induced Oxide Charge and Interface Traps in Thin Gate MOSFET’s”, IEEE Trans. on Electron Devices, vol.45, pp.512-520, February 1998.

[37] M. Tsuchiaki, H. Hara, T. Morimoto, and H. Iwai, “A New Charge Pumping Method for Determining the Spatial Distribution of Hot-carrier Induced Fixed Charge in p-MOSFET’s”, IEEE Trans. on Electron Devices, vol. 40, pp.

1768–1778, October 1993.

[38] Yu-Lin Hsieh, “Device Characteristics and Hot Carrier Degradation of Strained NMOSFETs with SiN Capping Layer”, A thesis in Department of Electronics Engineering & Institute of Electronics in NCTU, 2006.

[39] S. M. Sze, Physics of Semiconductor Device, 2nd Edition, p. 69, July 1985.

Fig.1.1 Schematic illustration of 3D process-induced strain components [25].

Schematic view of 3D process-induced strain components.

Impact of 3D Strain Effects on CMOS Performance.

*Strain change=Increased tensile of decreased compressive strain.

Fig.1.2 Simple schematic of conduction and valence band structures with and without strain [35].

Fig.1.3 Schematic diagram of the energy sub-bands without strain and with bi-axial strain in an MOS inversion layer [27].

Fig.1.4 Schematic diagram of the valence bands E vs. k in uni-axially tensile strain and bi-axially tensile strain Si layers [29].

Gate SiN Stress

相關文件