• 沒有找到結果。

Crosstalk minimization in this work is achieved by crosstalk-driven GTA. Table VI shows the crosstalk reduction, for fixed- and variable-rule routings in the GTA stage.

GTA comprises three stages, initial assignment, O-Tree based iterative reassignment and HIR reordering. In Table VI, columns 2, 4, and 6 compare the coupling capaci-tance of initial assignment, O-Tree based refinement and HIR reordering results for fixed-rule routing, and columns 3, 5, and 7 list the coupling capacitance of initial assign-ment, O-Tree based refinement and HIR reordering results for variable-rule routing.

For fixed-rule routing, GTA contributes 57–63% coupling capacitance reduction (60%

on average), while a coupling capacitance reduction of 36–56% is achieved by GTA for variable-rule routing (44% on average). The second experiment in crosstalk minimiza-tion addresses the variaminimiza-tion in crosstalk for different IRoute orderings in EOBAR stage for fixed-rule routing. IRoutes are processed in order of decreasing IRoute length. Three

Ave. 60 44

·Coupling cap.: li, j/di, j2; FR: fixed rule; VR: variable rule;

·RR: reduction rate = (C1-C3)/C1;

Table VII. Comparison of Fixed-Rule Detailed Routing Results of a Commercial Routing Tool and This Work

Circuit Run time (sec) Wire length (WL) (×104μm) Coupling capacit. (pf) This work CT wt SI This work CT wt SI/CT wt no SI This work CRT wt SI

s5378 2.12 13 8.1 7.7/7.7 3.76 4.67

s9234 1.54 11 6.0 5.7/5.7 2.36 2.72

s13207 2.30 43 19 18/18 8.38 9.74

s15850 5.49 36 24 23/22 11.5 13.77

s38417 15.53 81 52 50/49 21.86 23.68

s38584 24.64 128 72 69 /68 32.62 37.06

Comp. 1 8.16 1 0.95/0.94 1 1.16

·CT wt SI: commercial routing tool with signal integrity capability.

out of six benchmarks reveal slightly more crosstalk after EOBAR, while the others reveal slightly less crosstalk. On average, the short-IRoute-first policy is associated with 0.5% less crosstalk than the long-IRoute-first policy after EOBAR. Subpanel re-arrangement increases the crosstalk of all benchmarks except one when EOBAR is performed in decreasing order of IRoute length. On average, the crosstalk effect follow-ing subpanel rearrangement in order of decreasfollow-ing IRoute length in EOBAR is 1.3%

larger than that obtained by rearrangement in order of increasing IRoute length. The experiment demonstrates that the order of IRoute length in EOBAR stage only slightly influences the crosstalk effect after EOBAR.

Since initial assignment does not totally focus on crosstalk reduction, and may cause bad-quality assignment in terms of coupling capacitance, six test cases are also routed with a commercial routing tool enabling signal integrity (SI) capability to compare the efficiency of reducing coupling capacitance. Table VII compares the detailed routing results and coupling capacitance of this work and that of the commercial routing tool for fixed-rule routing. The runtime speedup for six test cases is in the range 5.19–18.7 times (8.16 times speedup on average), while the total wire length has about 5% increasing rate. As regarding the comparison of coupling capacitance, the routing results of this work are translated to DEF files and then fed into the commercial routing tool. The built-in coupling capacitance estimator of the commercial routing tool is employed to calculate the coupling capacitance of this work and that of the commercial routing tool. This work yields less coupling capacitance than the routing tool in all cases with the reduction rate in the range 7.7–19.5% ((1.16–1)/1.16= 13.8%

on average). The commercial routing tool split a long net into several wire segments routed in different tracks, however the proposed track assignment algorithm does not consider wire breaking currently. Wire breaking probably provides better connections of

pins to IRoutes, which results in less wire length. Besides, wire breaking can contribute to the further reduction of coupling capacitance.

Wirelength and coupling capacitance are two factors that affect timing. Increasing wirelength may worsen timing while reducing coupling capacitance may improve tim-ing. We attempt to verify the impact of this work on the timings of used benchmarks.

The ISCAS benchmarks used in this work are released by Prof. J. Cong, and simplified for the use of academic researches. These benchmarks only contain pseudo cell types, cell positions, pin types, pin positions, and net information. In these benchmarks, each pin is reduced to a point. No cell library is provided for further timing analysis, thus we can not analyze timing for these benchmarks. The IWLS 2005 benchmarks1contain the netlists of ISCAS benchmarks as well as a cell library released by Cadence. We applied the used commercial tool to place each ISCAS benchmark, and then generated a new set of benchmarks by extracting the pin positions and net information from the placed design. However, the shape of a pin in IWLS 2005 is a complex polygon, which requires a router to have the capability to perform polygon-to-polygon routing. The commercial tool performs this type of routing very well, but NEMO does not have this capability. Thus NEMO can not complete the routings of the new benchmarks, and the timing analysis is not available, neither. The timing analysis on the results routed by commercial tool shows that WNS is averagely improved by 0.23% even though SI operation enlarges total wirelength by 1.3%. Since this work yields less coupling ef-fect reduction with only 5% longer total wirelength than the used commercial routing tool, we can infer that the timings of benchmarks routed by this work also change slightly.

6. CONCLUSIONS

This work presents the first three-stage crosstalk-driven gridless routing system, com-prising a congestion-driven global router, a crosstalk-driven GTA and an enhanced implicit connection-graph-based detailed router. Firstly, previous works on grid-based TA do not discuss the impact of IRoute extraction on routing. This study proposes global-path merging based IRoute extraction to decrease the number of point-to-point routings by merging two global paths of the same direction ending at the same GCell.

After initial assignment, crosstalk reduction in GTA is transformed to a nonslicing floorplanning problem, and an O-Tree-based deterministic floorplanning algorithm is employed. Further reduction is obtained by splitting the routing region into HIRs, then reordering the HIRs with a branch and bound algorithm. After GTA and routing tree construction, many original point-to-point routings are set to connect to IRoutes, and can be simply resolved using pattern routing. Finally, the detailed router simplifies its gridline extraction and PMT extraction by adopting a bin-based data structure and tagging blocked tiles. Experimental results reveal that the enhanced bin-based implicit connection-graph based router has 1.72 times the runtime speedup of NEMO on av-erage. Besides, the proposed three-stage crosstalk-driven gridless routing system can perform over 2.02 times faster for fixed- and variable-rule routings than an implicit connection-graph-based router, NEMO. As compared with a commercial routing tool enabling signal integrity optimization, this work yields an average runtime speedup of 8.16 times and an average 13.8% reduction rate in coupling capacitance calculated with the built-in coupling capacitance estimator of the commercial routing tool, with 5% wire-length increasing rate. For further crosstalk and wire-length reduction, wire breaking has to be considered in track assignment to develop the flexibilities of lowering overlap length of adjacent wires and of connecting pins to IRoutes.

1(http://www.iwls.org/iwls2005/benchmarks.html)

CHO, J. D., RAJE, S., SARRAFZADEH, M., SRIRAM, M.,ANDKANG, S. M. 1993. Crosstalk-minimum layer assignment.

In Proceedings of the Custom Integrated Circuits Conference. 29.7.1–29.7.4.

CONG, J., FANG, J.,ANDKHOO, K. 2001. DUNE: A multilayer gridless routing system. IEEE Trans. Comput.-Aid.

Des. Integr. Circ. Syst. 20, 5, 633–646.

DION, J.ANDMONIER, L. M. 1995. Contour: A tile-based gridless router. Western Research Laboratory Res.

rep. 95/3.

GAO, T.ANDLIU, C. L. 1996. Minimum crosstalk channel routing. IEEE Trans. Comput.-Aid. Des. Integr. Circ.

Syst. 15, 5, 465–474.

GUO, P. N., CHENG, C. K.,ANDYOSHIMURA, T. 2001. Floorplanning using a tree representation. IEEE Trans.

Comput.-Aid. Des. Integr. Circ. Syst. 20, 2, 281–289.

HE, L.ANDXU, M. 1999. Modeling and layout optimization for on-chip inductive coupling. Tech. rep. ECE-00-1.

University of Wiscons in at Madison.

HO, T. Y., CHANG, Y. W., CHEN, S. J.,ANDLEE, D. T. 2005. Crosstalk-and performance-driven multilevel full-chip routing. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 24, 6, 869–878.

KAO, W. C.ANDPARNG, T. M. 1995. Cross point assignment with global rerouting for general-architecture designs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 14, 3, 337–348.

KASTNER, R., BOZOGZADEH, E.,ANDSARRAFZADEH, M. 2000. Predictable routing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 110–113.

KUO, Y. S., CHERN, T. C.,ANDSHIH, W. K. 1988. Fast algorithm for optimal layer assignment. In Proceedings of the 25th ACM/IEEE Conference on Design Automation, 554–559.

LI, Y. L., CHEN, X. Y.,ANDLIN, Z. D. 2007. NEMO: A new implicit connection graph-based gridless router with multi-layer planes and pseudo-tile propagation. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 4, 705–718.

MARGARINO, A., ROMANO, A., GLORIA, A. DE, CURATELLI, F.,ANDANTOGNETTI, P. 1987. A tile-expansion router.

IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 6, 507–517.

PAN, M.ANDCHU, C. 2006. FastRoute: A step to integrate global routing into placement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 464–471.

SAIT, S. M.ANDYOUSSEF, H. 1999. VLSI Physical Design Automation. World Scientific Publishing.

SAPATNEKAR, S. S. 2000. A timing model incorporating the effect of crosstalk on delay and its application to optimal channel routing. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 19, 5, 550–559.

SHI, C. J. R. 1997. Solving constrained via minimization by compact linear programming. In Proceedings of the Asia and South Pacific Design Automation Conference. 635–640.

TSENG, H. P., SHEFFERL.,AND SECHEN, C. 2001. Timing- and crosstalk-driven area routing. IEEE Trans.

Comput.-Aid. Des. Integr. Circ. Syst. 20, 4, 528–544.

TU, S. W., SHEN, W. Z., CHANG, Y. W., CHEN, T. C.,AND JOU, J. Y. 2003. Inductance modeling for on-chip interconnects. Int. J. Analog Integr. Circ. Sig. Proce. 35, 1, 65–78.

VITTAL, A.AND MAREK-SADOWSKA, M. 1997. Crosstalk reduction for VLSI. IEEE Trans. Comput.-Aid. Des.

Integr. Circ. Syst. 16, 4, 290–298.

WU, D., HU, J., ZHAO, M.,ANDMAHAPATRA, R. 2005. Timing driven track routing considering coupling capaci-tance. In Proceedings of the Asia and South Pacific Design Automation Conference. 1156–1159.

XUE, T., KUH, E. S.,AND WANG, D. 1996. Post global routing crosstalk risk estimation and reduction. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 302–309.

ZHOU, H.ANDWONG, D. F. 1999. Global routing with crosstalk constraint. IEEE Trans. Comput.-Aid. Des.

Integr. Circ. Syst. 18, 11, 1683–1688.

Received March 2009; revised September 2009, March 2010; accepted July 2010

相關文件