• 沒有找到結果。

3-3-2 Hot Carrier Injection on pMOSFETs

Chapter 5 Future Work

Less than 3nm gate dielectric with both high drivability and reliability is necessary

for realizing high performance CMOS devices. Because nitrogen incorporated oxide

can solve these problems, fabrication technology of ultrathin gate dielectrics with high

nitrogen concentration is required. Nitridation treatment on ultrathin oxide has been

used in MOS technology to strengthen both the dielectrics robustness and electrical

stress, meanwhile it also can apply to form high permittivity gate dielectric stacks.

Besides, it has its excellent resistance of boron penetration and is a good buffer layer

candidate between high-K gate dielectric and Si substrate. There are a number of

topics relevant to this thesis, which may deserve further studies. The following topics

are suggested for future work:

according to the current results obtained so far, with such good electrical

characteristics and reliability properties of oxynitride, it manifests a high competence

for a number of applications to deep submicrometer. Among them are the following:

(1) Application of the interfacial layer between high-k and substrate:

High-nitrogen oxynitride has both the ability to withstand the penetration of the

oxygen atoms and low density of interface state (Dit). For certain high-K materials

such as HfO2 and ZrO2, they suffer the oxygen atom outdiffusion into substrate during

deposition. Hence, this problem can be improved if oxynitride film can be inserted in

between. That is, we grow the oxynitrde film first before the formation of the HfO2

and ZrO2. Then, the HfO2/oxynitride and ZrO2/oxynitride films will have a large

possibility to optimize these high-K materials.

(2) Application of SONOS button oxide:

For Polysilicon-blocking Oxide-silicon Nitride-tunneling Oxide-Silicon

(SONOS), one of the mechanisms to degrade the ability of charge retention is by trap

to trap tunneling (TTT), which means that electron stored in nitride trap directly

tunnel through the tunnel oxide to silicon substrate via the interface state. By our

technique, oxynitride with Dit could improve the charge retention of SONOS.

References:

[1] TAKASHI HORI,HIROSHI IWASAKI,KAZUHIKO TSUJI,”Charge-Trapping

Properties of Ultrathin Nitride Oxides Prepared by Rapid Thermal

Annealing”,IEEE Trans. Electron device,vol.35,NO7,JULY 1988.

[2]T.Hori , Gate Dielectrics and MOS ULSIs,p.43

[3] G.Baccarani,M.R.Wordeman and R.H.Rennard,”Generaliized scaling theory and

its application to a 1/4 micrometer MOSFET design,”IEEE Trans. Electron

device,Vol.31,no.4,p.452,April 1984.

[4] P. A. Packan,”Device physics: pushing the limits,”Science, Vol. 285,P 2079,1999

[5] T.H.Ning,”Silicon technology directions in the new millenmium,”in proc. Int.

Reliab. Phys. Symp. , 2000 p.1

[6] M. T. Bohr,”Technology development strategies for the 21st century,”Appl.Surf.

Sci.vol.100-101,P.534,July 1996

[7] Y.Taur, D. Buchanan, W. Chen,D. J. Frank, K.I. Ismail,S.-H. Lo, G. A.

Sai-Halasz,R. G. Viswanathan, H. –J. C. Wann, S.J. Wind and H.-S. Wong,”CMOS

scaling into the nanometer regime,”in Proc.IEEE,vol.85, no.4 ,p.486, Apr.1997

[8] K. F. Schuegraf and C. Hu,”Hole injection SiO2 breakdown model for very low

voltage lifetime extrapolation,” IEEE Trans. Electron device,Vol.41,p.761-767,

1994.

[9] B. Neri, P . Olivo , R. Saletti,and M. Signoretta,”Dielectric breakdown and

reliability of MOS microstructures: Traditional characterization and

low-frequency noise measurement,”Microelectron. Reliab.,

vol.35,pp.529-537,1995

[10] J. R. Pfiester, L. C. Parrillo, and F. K. Baker,”A physical model for boron

penetration through thin oxides from p+ gates,”IEEE Electron Device

Lett.,vol.13,pp.14-16,1992.

[12] K. S. Krisch, M. L. Green, F. H. Baumann, D. Brasen, L. C. Feldman and L.

Manchanda,“Thickness dependence of boron peretration through O2 and

N2O-grown gate oxides and its impact on threshold voltage variation,“ IEEE

Trans. Electron Devices, vol. 43, pp. 982-990, 1996.

[13] Aoyama, T. Suzuki, K. Tashiro, H. Tada and Y. Arimoto, “Flat-band voltage shifts

in P-MOS devices caused by carrier activation in p+-Polycrystalline silicon and

boron penetration,” in IEDM Tech. Dig., 1997, pp. 627-630.

[14] K.S. Krisch, L. Manchanda, F.H. Baumann, M.L. Green, D. Brasen, L.C.

Feldman, A. Ourmazd, “Impact of Boron Diffusion through O2 and N2O Gate

Dielectrics on the Process Margin of Dual-Poly Low Power CMOS,” in IEDM

Tech. Dig., 1994, pp. 325-328.

[15] S.K. Lai, J. Lee, and V. K. Dham, “Electrical properties of nitrided-oxide

systems for use in gate dielectrics and EEPROM,” in IEDM Tech. Dig., pp.

190-193, 1983.

[16] M. M. Mosichi, S.C. Shatas, and K.C. Saraswat, “Rapid thermal oxidation and

nitridation of silicon,” in Proc. 5th Int. Symp. Silicon Mat. Sci. Technol., ECS vol.

86-4, p. 379, 1986.

[17] H.-H. Tsai, L.-C. Wu, C.-Y. Wu and. C. Hu, “The effect of thermal nitridation

conditions on the reliability of thin nitrided oxides films,” IEEE Electron Device

Lett., vol. EDL-8, pp. 143-145, 1987.

[18] S.-T. Chang, N. M. Johnson, and S.A. Lyon, “Capture and tunnel emission of

electrons by deep levels in ultrathin nitrided oxides on silicon,” Appl. Phys. Lett.,

vol. 44, pp. 316-318, 1984.

[19] S. K. Lai, D. W. Dong, and A. Hartstein, “Effects of ammonia anneal on electron

trappings in silicon dioxide,” J. Electrochem. Soc., vol. 129, p. 2042-2044, 1982.

[20] S. S. Wong, S. H. Kwan, H. R. Grinolds, and W. G. Oldham, “Composition and

electrical properties of nitrided-oxide and re-oxidized nitrided-oxide,” in Proc.

Symp. Silicon Nitride Thin Ins. Films, ECS vol. 83-8, p. 346, 1983.

[21] F.-C. Hsu and K.-Y. Chiu, “A comparative study of tunneling, substrate

hot-electron and channel hot-electron injection induced degradation in thin-gate

MOSFET’s,” in IEDM Tech. Dig., 1984, pp. 96-99.

[22] R. Jayaraman, W. Yang, and C. G. sodini, “MOS electrical characteristics of low

pressure re-oxidized nitrided-oxide,” in IEDM Tech. Dig., 1986, pp. 668-671.

[23] F. L. Terry, Jr., P. W. Wyatt, M. L. Naiman, B. P. Mather, C. T. Kirk, and S. D.

Senturia, “High-field electron capture and emission in nitrided oxides,” J. Appl.

Phys., vol. 57, pp. 2036-2039, 1985.

[24] T.W. Hickmott, “Annealing of surface in polycrystalline-silicon-gate capacitors,”

J. Appl. Phys., vol. 48, pp.723-733, 1977.

[25] T. Hori, H. Iwasaki and K.Tsuji, “Charge-Trapping Properties of Ultrathin

Nitrided Oxides Prepared by Rapid Thermal Annealing,” IEEE Trans. Electron

Devices, vol. 35, pp. 904-910, 1988.

[26] C.T. Sah, “Origin of interface states and oxide charges generated by ionizing

radiation,” IEEE Trans. Nucl. Sci., vol. NS-23, p. 1563, 1976.

[27] P. Balk, “Hot carrier injection in oxides and the effect on MOSFET reliability,”

in Solid State Devices, Institute Phys., Series No. 69, p. 63, 1983.

[28] C. W. Gwyn, “Model for radiation-induced charge trapping and annealing in the

oxide layer of MOS devices,” J. Appl. Phys., vol. 40, pp. 4886-4892, 1969.

[29] T. P. Ma, “Oxide thickness dependence of electron-induced surface states in

MOS structures,” Appl. Phys. Lett., vol. 27, pp. 615-617, 1975.

[30] R. P. Vasquez and A. Madhukar, “Strain-dependent defect formation kinetics and

a correlation between flat-band voltage and nitrogen distribution in thermally

nitrided SiOXNY/Si structures,” Appl. Phys. Lett., vol. 47, pp. 998-1000, Nov.

1985.

[31] A. Teramoto, H. Umeda, H. Tamura, Y. Nishida, H. Sayama, K. Terada, K.

Kawase, Y. Ohno, and A. Shigetomi,” Precise control of nitrogen profiles and

nitrogen bond states for highly reliable N2O-grown oxynitride,” J. Electrochem.

Soc., vol. 147, pp. 1888-1892, 2000.

[32] B. Maiti, P. J. Tobin, V. Misra, R. I. Hegde, K. G. Reid and C. Gelatos,”High

performance 20Å NO oxynitride for gate dielectric in deep subquarter micron

CMOS technology,” in IEDM Tech. Digest, pp. 651-654. 1997.

[33] H. Fukuda, T. Arakawa, and S. Ohno, “Thin-gate SiO2 films formed by in situ

multiple rapid thermal processing,” IEEE Trans. Electron Devices, vol. 39, pp.

127-133, 1992.

[34] M.-Y. Hao, K. Lai, W.-M. Chen, and J. C. Lee, “Reliability characteristics and

surface preparation technique for ultra-thin (33Å~87Å) oxides and oxynitrides”

in IEDM Tech. Digest, pp. 601-604, 1994.

[35] Y. Okada, P. J. Tobin, K. G. Reid, R. I. Hedge, B. Maiti and S. A. Ajuria,

“Furnace grown gate oxynitride using nitric oxide (NO),” IEEE Trans Electron

Device, vol. 41, pp. 1608-1613, 1994.

[36] B. Maiti, D. Shum, W. M. Paulson, K.-M. Chang, P. J. Tobin, M. Weidner, and

C. Kuo, “Highly reliable furnace-grown N2O tunnel oxide for a microcontroller

with embedded flash EEPROM,” Reliability Physics Symposium, 1996. 34th

Annual Proceedings, IEEE International, 30 April -2 May 1996, Page(s):55-60.

[37] Ze-Qiang Yao, “The nature and distribution of nitrogen in silicon oxynitride

grown on silicon in a nitric oxide ambient,” J. Appl. Phys., vol. 78, pp.

2906-2912, 1995.

[38] H. Fukuda, T. Arakawa, and S. Ohno,”Highly reliable thin nitrided SiO2 films

formed by rapid thermal processing in an N2O ambient,” Electron. Lett., vol. 26,

pp. 1505-1506, 1990.

[39] H. Hwang, W. Ting, B. Maiti, D.-L. kwong, and J. Lee, “Electrical characteristics

of ultrathin oxynitride gate dielectric prepared by rapid thermal oxidation of Si in

N2O,” Appl. Phys. Lett., vol. 57, pp. 1010-1011, 1990.

[40] Z. Liu, H. J. Wann, P.K. Ko, C. Hu, and Y.C. Cheng, “Improvement of charge

trapping characteristics of N2O annealed and reoxidized N2O annealed thin

oxide,” IEEE Electron Device lett., vol. 13, pp.519-521, 1992.

[41] H.R. Soleimani, A. Philipossian, and B. Doyle, “A Study of the growth kinetics

of SiO2 in N2O,” in IEDM tech. Dig., pp.629-632, 1992.

[42] Y. Okada, P. J. Tobin, R. I. Hegde, J. Liao, and P. Rushbrook, “Oxynitride gate

dielectrics prepared by rapid thermal processing using mixtures of nitrous oxide

and oxygen,” Appl. Phys. Lett., vol. 61, pp. 3163-3165, 1992.

[43] G. W. Yoon, A. B. Joshi, J. Kim, G. Q. Lo, and D. L. Kwong, “Effects of growth

temperature on TDDB characteristics of N2O grown oxides,” IEEE Electron

Device Lett., vol. 13, pp. 606-608, 1992.

[44] Y. Okada, P. J. Tobin, V. Lakhotia, W. A. Feil, S. A. Ajuria, and R. I. Hedge,

“Relationship between growth conditions nitrogen profile and charge to

breakdown of gate oxynitrides grown from pure N2O,” Appl. Phys. Lett., vol. 63,

pp. 194-196, 1993.

[45] P. J. Tobin, Y. Okada, S. A. Ajuria, V. Lakhotia, W. A. Feil, and R. I. Hegde,

“Furnace formation of silicon oxynitride thin dielectrics in nitrous oxide N2O the

role of nitric oxide NO,” J. Appl. Phys., vol. 75, pp.1811-1817, 1994.

[46] Y. Okada, P. J. Tobin, V.Lakhotia, S.A.Ajuria, R.I.Hegde, J.C.Liao, P.Rushbrook,

and L. J. Arias, J. Electrochem. Soc., vol. 140, L87, 1993.

[47] M. L. Green, D. Brasen, K. W. Evans-Lutterodt, L. C. Feldman, K. Krisch, W.

Lennard, H.-T. Tang, L. Manchanda, and M.-T. Tang, “Rapid thermal oxidation

of silicon in N2O between 800 and 1200 : Incorporated nitrogen and interfacial ℃

roughness,” Appl. Phys. Lett., vol. 65, pp. 848-850, 1994.

[48] Z.H. Liu, J.T. Krick, H.J. Wann, P.K. Ko, C. Hu, and Y.C. Cheng, ”The effects of

furnace N2O annealing on MOSFETs,” in IEDM Tech. Dig., pp.625-628, 1992.

[49] E. C. Carr and R. A. Buharman , “Role of interfacial nitrogen in improving thin

silicon oxide grown in N2O,” Appl. Phys. Lett., vol. 63, pp. 54-56, 1993.

[50] N.S. Saks, M.Simons, D.M. Fleetwood, and M.E. Twigg, Proceedings of the

Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Film, 1994

Meeting of the ECS, San Francisco, CA, May 22-27, 1994.

[51] T. Yamamoto, T. Ogura, Y. Saito, K. Uwasawa, T. Tatsumi and T. Mogami, “An

advanced 2.5nm Oxidized Nitride Gate Dielectric for Highly Reliably 0.25µm

MOSFETs,” in Symp. on VLSI Technology Dig., pp. 45-46, 1997.

[52] D. Wristers, L. K. Han, T. Chen, H. H. Wang, and D. L. Kwong, “Degradation of

oxynitride gate dielectric reliability due to boron diffusion,” Appl. Phys. Lett., vol.

68, pp. 2094-2096, 1996.

[53] K. A. Ellis and R. A. Buhrman, “Furnace gas-phase chemistry of silicon

oxynitridation in N2O,” Appl. Phys. Lett., vol. 68, pp. 1696-1698, 1996.

[54] E. P. Gusev, H. C. Gustafsson and E. Garfunkel, “The composition of ultrathin

silicon oxynitrides thermally grown in nitric oxide,” J. Appl. Phys., vol. 82, pp.

896-898, 1997.

[55] E. C. Carr, K. A. Ellis and R. A. Buhrman, “N depth profiles in thin SiO2 grown

or processed in N2O: The role of atomic oxygen,” Appl. Phys. Lett., vol. 66, pp.

1492-1494, 1995.

[56] E. P. Gusev, H. C. Gustafsson and E. Garfunkel, “The composition of ultrathin

silicon oxynitrides thermally grown in nitric oxide,” J. Appl. Phys., vol. 82, pp.

896-898, 1997.

[57] E. P. Gusev, H.C. Lu, E. Garfunkel, and T. Gustafsson, “Nitrogen engineering of

ultrathin oxynitrides by a thermal NO/O2/NO process,” J. Appl. Phys., vol. 84, pp.

2980-2982, 1998.

[58] F. K. Baker, J. R. Pfiester, T. C. Mele, H.-H. Tseng, P. J. Tobin, J. D. Hayden, C.

D. Gunderson and L. C. Parrilo, “The influence of fluorine on the threshold

voltage instabilities in p+ polysilicon gated p-channel MOSFETs,” in IEDM Tech.

Dig., 1989, pp. 443-446.

[59] J. M. Sung, C.-Y. Lu, M. L. Chen, S. J. Hillenius, W. S. Lindenberger, L.

Manchanda, T. E. Smith and S. J. Wang, “Fluorine effect on boron diffusion of p+

gate devices [MOSFETs],” in IEDM Tech. Dig., 1989, pp. 447-450.

[60] Hori, T.; Iwasaki, H.; Tsuji, K., “Electrical and physical properties of ultrathin

reoxidized nitrided oxides prepared by rapid thermal processing,” IEEE Trans.

Electron Devices, vol. 36, pp. 340-350, 1989.

[61] B. C. Lin, K. M. Chang, C. H. Lai, K.Y. Hsieh and J. M. Yao, “Reoxidation

Behavior of High-Nitrogen Oxynitride Films after O2 and N2O Treatment,” Jpn.

J. Appl. Phys., vol. 44, pp.2993-2994, 2005.

[62] M. S. Krishnan, L. Chang, T. J. King, J. Bokor and Chenming Hu, “MOSFETs

with 9 to 13A thick gate oxides, “ in IEDM Tech. Dig. 1999, p. 241.

[63] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, and H. Iwai, “Electrical

characteristics of rapid thermal nitrided-oxide gate n and p-MOSFET's with less

than 1 atom% nitrogen concentration,” IEEE Trans. Electron Devices, vol. 41, pp.

546-552, 1994.

[64]B.Y. Kim, I. M. Liu, H. F. Luan, M. Gardner, J fulford and D. L. Kwong, “Impact

of boron penetration on gate oxide reliability and device lifetime in P+-poly

PMOSFETs, “ presented at IEDM’97, Washington D. C., 1997, pp 182-187

相關文件