• 沒有找到結果。

Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches

N/A
N/A
Protected

Academic year: 2021

Share "Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches"

Copied!
12
0
0

加載中.... (立即查看全文)

全文

(1)

Intelligent optical proximity correction using genetic algorithm

with model- and rule-based approaches

Yiming Li

a,*

, Shao-Ming Yu

b

, Yih-Lang Li

b a

Department of Communication Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 300, Taiwan bDepartment of Computer Science, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 300, Taiwan

a r t i c l e

i n f o

Article history:

Received 21 September 2007 Received in revised form 17 April 2008 Accepted 27 April 2008

Available online 31 July 2008 PACS:

42.15.i 42.30.d 42.30.Va Keywords:

Optical proximity correction Lithography Genetic algorithm Numerical simulation Rule base Model base

a b s t r a c t

Optical lithography is one of the key technologies in semiconductor material and device fabrications. It is a process to transfer the layouts of desired pattern onto the wafers. However, the exposure on wafer has distortions due to the proximity effects. As the minimum feature sizes of explored samples continue to shrink, the mismatch between the pattern and the experimental result on wafer is significant. Corrections of mask patterns between the sample and post exposure result are thus necessary. Optical proximity cor-rection (OPC) is the process of modifying the geometries of the layouts to compensate for the non-ideal properties of the lithography process. Given the shapes desired on the wafer, the mask is modified to improve the reproduction of the critical geometry. In this work, we propose an intelligent OPC technique for process distortion compensation of layout mask. To perform the mask correction in sub-wavelength era, two different strategies including the genetic algorithm (GA) with model-based OPC and the GA with rule-based OPC methods are examined. The proposed intelligent system consists of three parts: the pre-process, the OPC engine, and the post-process. During the pre-pre-process, the pattern analyzer will analysis all patterns and then divided them into many segments for model-based OPC or generates assistant pat-terns for rule-based OPC. Secondly, the OPC module is applied to correct the mask. The intelligent module searches the whole problem domain to find out the best combination of the mask shape by the GA. The corrected mask is verified by performing lithographic simulation to get the error norm between exposed result and desired layout. Finally, the mask verification is conducted in the post-process. By testing on several fundamental patterns, this approach shows good correction accuracy and efficiency, compared with experimentally fabricated samples. It can be applied to perform the mask correction in sub-wave-length era.

Ó 2008 Elsevier B.V. All rights reserved.

1. Introduction

Optical lithography[1–8]is one of the key technologies used in semiconductor device and very large scale integrated (VLSI) circuit fabrication. It is the process similar to photographic printing, in which the designed patterns of an integrated circuit are exposed on a semiconductor wafer[1–3]. However, the exposure on wafer inherently has distortions due to the diffraction of exposure light; there may be a great number of variations of the final image on re-sist compared to the designed layout. These variations include line width variation, line end shortening and corner rounding. As the minimum feature sizes continue to shrink, the mismatch between the desired pattern and the exposed result on wafer is no longer ignorable[9–20]. Although, the lithography technology decreases the light wavelength from 365 nm in the 1980s down to 193 nm in the most advanced systems today, the wavelength of the light

used to project the circuit image onto the silicon wafer was too large to resolve the ever-shrinking details of each new generation of ICs. Hence, a correction of mask patterns between designed lay-out and post exposure result is necessary for obtaining a better agreement, in particular for the sub-wavelength era[4–6].

Optical proximity correction (OPC)[21–31]is a process of mod-ifying the polygons that are drawn by designers to compensate for the non-ideal properties of the lithography process[4–6,18–20]. Given the shapes desired on the wafer, the mask is modified to im-prove the reproduction of the critical geometry. This is done by dividing polygon edges into small segments and moving the seg-ments around, or by adding additional small polygons to strategic locations in the layout. With the help of OPC, the resultant pattern on the wafer accurately meets designer’s requirements and pro-vides best device performance. Presently there are two kinds of methods for OPC: rule- and based methods. The model-based OPC[21–24]techniques modify whole layout by the calcula-tions of experimental corrected models [1–3]. It is done by iteratively simulating the transcribed shape on the wafer online

0927-0256/$ - see front matter Ó 2008 Elsevier B.V. All rights reserved. doi:10.1016/j.commatsci.2008.04.031

* Corresponding author.

E-mail address:ymli@faculty.nctu.edu.tw(Y. Li).

Contents lists available atScienceDirect

Computational Materials Science

(2)

and correcting a specific feature; thus uniform precision can be achieved at the cost of a large amount of time consumed by lithog-raphy simulation. On the other hand, rule-based techniques[26–

28]are an extension of the methods used for manual OPC. They are much fast and therefore can directly apply to an entire layout for semiconductor manufacturing; however they strongly depend on empirical knowledge for an accurate correction.

By integrating GA[32–40], we propose an intelligent OPC tech-nique. Basic idea is that we apply the GA and a lithography simu-lator to find out the best shape of the layout patterns to counteract the imaging effects that distort patterns on the wafer. Two differ-ent strategies including the GA with model-based OPC and the GA with rule-based OPC methods are examined in this work. For the GA with model-based method, the designed layouts are parti-tioned into small segments and adjusted by GA to find the optimal solution for modifying the layout mask. For the GA with rule-based method, GA is adopted to decide the size and position of the assis-tant patterns that generated by rules. Testing on several funda-mental patterns experifunda-mentally, this approach shows good correction accuracy and efficiency. It can be applied to perform the mask correction in sub-wavelength era.

This paper is organized as follows. In Section2, lithography for integrated circuit fabrications is briefly introduced. In Section3, we describe the proposed intelligent OPC approach. In Section4, re-sults and discussion are given. Finally, we draw conclusions.

2. Lithography technology for IC fabrication

Lithography[1–3]dominates the possibility for integrated cir-cuit (IC) realization. Fundamentally, an IC lithography tool is an astoundingly high-quality projector. Single crystalline silicon

Fig. 1. A schematic outline for an optical projection system.

(3)

wafers are manufactured from raw poly-silicon and used as sub-strates for the various semiconductor devices. Thus, VLSI circuits would be manufactured by repeatedly processing the wafers through a cycle of three basic unit operations: film deposition, lithography, and etch. This cycle builds up the patterned layers, such as semiconductors, conductors and insulators that used to produce a final device. In this way, the lithography and etching processes control the minimum feature size of the fabricated semi-conductor devices. The manufacture of semisemi-conductor products re-quires the ability to work selectively on small, well defined areas of the semiconductor substrate. Consequently, there is an ever increasing need to make advancements in the lithography technol-ogies used in semiconductor manufacturing, and push the industry continuously to move forward.

The advanced mask engineering technique, the so-called OPC, can be used to increase fidelity during layout to wafer pattern transferring. The OPC enhances optical characteristics by making adjustments to the mask. This is accomplished by compensating mask geometry for known effects which will occur during imaging or subsequent processing. Further, lithography simulation is an important technique required by the process of OPC. Lithography simulation has been used for analysis of aerial image and cut lines. The aerial image is the intensity distribution that results from projecting the image of the mask onto the wafer’s surface. Modeling the aerial image generated by an exposure system is a rigorous and well understood procedure that requires knowledge of the optics of the complex lens systems in the exposure tool.Fig. 1 shows the schematic of a generic projection system which is composed of the illumination optics (light source and condenser lens), an object (mask), and the project optics. The simulation can be accomplished using standard Fourier optics descriptions of the process and the end result of the simulation is the intensity distribution at the wafer plane[1–3,31]. The widely used Hopkins model [1–3,31]for aerial image calculation provides a general, parametric scalar imaging formulation. The Hopkins imaging equations are Iðx; yÞ ¼ Z Z Z Z Tðf0;g0;f00;g00Þ~Fðf0;g0Þ~Fðf00;g00Þ  exp 2

p

½ðf0  f00Þx þ ðg0 g00Þy df0dg0df00dg00; ð1Þ and Tðf0;g0;f00;g00Þ ¼ J 0ðf ; gÞKðf þ f 0;g þ g0ÞKðf þ f00;g þ g00Þ df dg; ð2Þ

where ~Fðx; yÞ is the Fourier transform of object transmittance F (x,y), function T is the transmission cross-coefficient, K (f,g) is the coher-ent transmission function, and J

0 is the mutual intensity function

represented in the frequency domain.

3. The intelligent OPC approach

A block diagram of the proposed OPC system, shown inFig. 2, consists of three main parts: pre-process, OPC engine, and post-process. During the pre-process, the layout pattern analyzer will analysis all patterns and then divided them into many segments for model-based OPC or generates assistant patterns for rule-based OPC. Secondly, the intelligent OPC module is applied to correct the mask. The intelligent module searches the whole problem domain to find out the best combination of the mask shape by the genetic algorithm. The corrected mask should be tested and perform numerical lithography simulation to get the error norm between exposed result and desired layout. During the optimization pro-cess, the number of segments is first empirically fixed and we only adjustment the movement of each segment to compose new geom-etry of the pattern. For parallelization, the parallel computing job scheduler can dispatch all sub-tasks into each PC in the

Linux-based PC cluster [40]. Finally, we perform the mask verification in the post-process.

Fig. 2b is a flowchart of the proposed approach. Two different

strategies are applied in this study. In the method (1), original lay-out patterns are divided into small edge and corner segments which are to be moved during OPC. The movements of those seg-ments are then optimized with respect to the calculated exposed results using the GA algorithm [25,31,34,35]. In the method (2), an original layout is firstly corrected with empirical rules.Fig. 3

illustrates the mode- and based OPC methods. For the rule-based OPC, it is adding or eliminating some defined patterns on de-sired layouts to compensate for the non-ideal properties of the lithography process. The rules include scattering bars and serifs which can be applied for edge and corner correction, respectively. However we can not decide the size and suitable position of these rule generated patterns without any empirical knowledge. In this approach, the size and position of those added patterns are decided respect to the simulation results by GA. By solving a two-dimen-sional Hopkins equation [1–3] with Fourier transformation, a lithography simulation is performed and the calculated results are used in the calculation of fitness of GA. The procedures of both methods are shown inFig. 4.

The combination of model-based OPC and GA is to adopt GA as an optimizer to search for the best position of each pattern edge segment and then to make up an optimized mask. The implemen-tation of each procedure in the proposed GA is briefly described as follows.

a

b

Fig. 3. Procedures of (a) GA with model-based OPC; and (b) GA with rule-based OPC.

(4)

3.1. Problem definition

The goal in the design of the OPC for a specific layout is to ob-tain a corrected mask whose exposed image is similar to the de-sired layout. That means the intelligent procedure should find out the best configuration of the shape, and the error between ex-posed image and desired layout can be reduced to the minimal. In OPC procedure, the relationship between original mask, cor-rected mask, exposed image and the errors can be written as follows:

Original mask : OM

Corrected mask : CM ¼ OPCðOMÞ Exposed image : EI ¼ Litho-SimðCMÞ Error : Err ¼ SUMðjEI-OMjÞ

¼ SUMðjLitho-SimðCMÞ  OMjÞ ¼ SUMðjLitho-SimðOPCðOMÞÞ  OMjÞ

3.2. Encoding method

Encoding method is a procedure that encodes the target param-eters into genes. In the GA with model-based OPC, we encode the movements of each segment into genes. For example, in the chro-mosome abcd, the genes a, b, c, and d can represent the movements of different segments, respectively. In the GA with rule-based OPC, the genes can stand for the sizes or movements of additional pat-terns that are generated by rules. All unknowns to be extracted are floating point numbers. We transform these continuous floating-point numbers into discrete steps (Psteps) through step function

of Eq.(3)instead of real numbers, and we encode the discrete steps as genes on chromosomes. The discrete steps show the strongly combinatorial properties, and we find this representation has bet-ter results in crossover and mutation compared with the results of floating-point numbers’ encoding method.

Pvalue¼ Pminþ Psteps

Pmax Pmin

Resolution

; ð3Þ

where Pminand Pmaxare the minimal and maximum values of the

parameter, respectively. Resolution defines the magnitude of single

step to vary the value of a parameter. In this work, the number of Pstepsis equal to 500.

3.3. Fitness evaluation

The fitness evaluation calculates the fitness score for each chro-mosome. The fitness score can be seen as the accommodation sta-tus of each chromosome in current environment, and it usually presents the differences between target and the chromosome. According to the definitions of genes, we can construct the corre-sponding sharps of patterns for each chromosome, and then per-form lithography simulations for the new patterns. The fitness evaluation function computes the difference between the simu-lated intensity of each point on the edge of original mask and the threshold intensity, and then uses the difference as the fitness score. The fitness function F is given by

F ¼ X #lines i¼1 X #pts j¼1 jðIij IthresholdÞj Ithreshold ! =#pts; ð4Þ

where Ithreshold= 0.3 is empirically selected.

3.4. Selection method

Once fitness score for each chromosome is obtained, a selection method will select chromosomes which will stay in the population and thus breed offspring. There are many selection schemes, such as ranking selection, roulette wheel selection, and tournament selection [32]. The ranking selection selects chromosomes with the rule of first-rate score. The roulette wheel selection gives each chromosome a different chosen rate by the average score and the fitness scores of each chromosome; and the tournament selection chooses several pairs of chromosomes and selects the better one of each pair. Among them, for the intelligent OPC system, the rank-ing selection is chosen in this work for its simplicity.

3.5. Crossover procedure and mutation scheme

Once selection is carried out, we will perform the crossover pro-cedure. Crossover procedure mates two chromosomes selected by selection method to generate new chromosomes. To generate off-spring, the crossover operator gives a few cuts on the parent chro-mosomes and exchanges the genes. After the crossover procedure is finished, a certain rate of the newborn chromosomes mutates into another different chromosomes. The mutation rate is typically less than 1%. The mutation scheme may act in different ways. In the proposed intelligent OPC system, it raises up the mutation rate when the behavior tends to saturation situation and decreases the mutation rate when the population achieves to high diversity. When the above steps complete, the GA evaluates the next gener-ation and stop until certain stop criteria is reached. In this work, the population size is equal to 1000 and a 10 cut is used in the crossover operation. During the evolution process, 80% of the pop-ulation in the offspring is generated through crossover and mutation.

Sensitivity of the parameters to be extracted is one of important is-sues for assisting parameter extraction. The sensitivity examination of parameters can point out what kind of parameters affects behavior of convergence significantly. According to this information, we firstly ex-tract those most sensitive parameters. When these parameters are firstly decided, all parameters will be extracted simultaneously. All

Method (1): GA with m odel-based OPC Begin

For i = 1 to Nu mber of pattern s Segment( Pattern[i] ) End For

For j = 1 to Nu mber of seg ments GeneEncode( Segment[j] ) End For

While ErrorNorm > Stop Criteria Evol ution()

UpdateSegment()

ExposedIm age = LithoSim( Corrected Mask )

ErrorN orm = ErrorEstimation( ExposedImage,Original Mask ) End While

Output( Corrected Mask ) End

Method (2): GA with rule-based OPC Begin

RuleOPC( Layout )

Ge neEncode( all patterns added by rules ) While ErrorNorm > Stop Criteria

Evolution() UpdatePatterns()

ExposedImage = LithoSim( Corrected Mask ) ErrorNorm = ErrorEstimation( ExposedImage )

End While

Output( Corrected Mask ) End

(5)

parameters in the method (1) can be classified into three categories: horizontal segments, vertical segments and corner segments. The

cor-ner segment parameters show dominated position on the extracted re-sults, comparing with other parameters, through a series of testing.

Fig. 5. (a) The layout of the first pattern without OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

Fig. 6. (a) The layout of the first pattern corrected by the GA with model-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

(6)

Therefore, we extract the corner segment parameters firstly, and then decide the values of horizontal and vertical segments parameters. This

extraction strategy can save much time in searching whole simulation domain.

Fig. 7. (a) The layout of the first pattern corrected by the GA with rule-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

Fig. 8. (a) The layout of the second pattern without OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

(7)

Fig. 9. (a) The layout of the second pattern corrected by the GA with model-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental

result of the layout. Fig. 10. (a) The layout of the second pattern corrected by the GA with rule-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

(8)

4. Results and discussion

According to the intelligent OPC approach described above, we have developed a computer-aided design (CAD) prototype under Linux-based PC cluster[41].Fig. 5a shows a testing layout without applied any resolution correction.Fig. 5b is the simulated exposed

image andFig. 5c is the corresponding experimental result. It is found that distortions occurred between the original layout and the aerial image in each corner. The effect of the band limited opti-cal system on corners is that corners become rounded on the aerial image as shown inFigs. 5b and c. Such distortion may cause some unexpected mistake in the fabrication process. Rounded corners

Fig. 11. (a) The layout of the third pattern without OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

Fig. 12. (a) The layout of the third pattern corrected by the GA with model-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

(9)

and shortened lines are typical of the distorting effects in the exposed pattern due to current wavelengths and feature sizes. Optical proximity correction makes sub-resolution changes in the shape of the pattern on the mask to counter the effects, that is the corners are squarer and the lines are longer. Fig. 6a shows the corrected layouts,Fig. 6b is the simulated results andFig. 6c

is the experimental results with the proposed method (1).Fig. 7a shows the layouts corrected by the GA with rule-based OPC,Fig. 7b is the simulated results andFig. 7c is the corresponding exper-imental results. It demonstrates good result, compared with the simulation and experiment results, as shown inFig. 5. In the sim-ulation results, the contour level setting for the interface between two regions is 0.3. In our test, we apply the G-line Stepper setting where wavelength k = 0.436, numerical aperture NA = 0.38 and coherence factor sigma = 0.7 in the lithography simulation. Figs. 8–13show layouts, simulation results, and experiment results of another two tested patterns.Figs. 8a and 11(a) are original layouts,

andFigs. 9a and 12a are the layouts corrected by method (1).Figs.

10a and 13a are the layouts corrected by method (2). After OPC

process, results with the corrected layout using the proposed GA

Fig. 13. (a) The layout of the third pattern corrected by the GA with rule-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.

Fig. 14. Zoom-in plots in the right and top side of the exposed image ofFigs. 11 and 12.

(10)

with model-based OPC or GA with rule-based OPC are successfully improved the mismatch. All of these results verify the practicabil-ity of the proposed intelligent OPC approach.Fig. 14a is a zoom-in plot in the right and top side of the exposed image inFig. 11c. Sim-ilarly,Figs. 14b and c are zoom-in plots ofFig. 12c and 13c. It is more clearly that the optimized results can improve the mismatch in the corner region. Compared with the result of GA with rule-based OPC, GA with model-rule-based OPC can produce more rectangu-lar shapes. This is due to the model-based method can generate more complicated geometry of the pattern. However, the model-based method usually consumes more time to complete the opti-mization process. Geometric derivation may result in significant difference of electric potential[42], and thus affect the resistance and capacitance of layouts and electric characteristics of designed circuit. To quantitatively examine the difference of electrical char-acteristic resulting from the different two patterns, shown inFigs.

5c and 6c, they are the patterns without and with the corrections,

input impedances of the structures, are thus calculated and com-pared. A set of two-dimensional Maxwell equations is solved, based upon a method of moment[43], to cost-effectively evaluate the input impedances of the structures. Without loss of generality, the input impedances are calculated at the port 2, shown inFig. 15, for the structures operated at 1, 10, and 100 GHz. The results sum-marized inTable 1show the large difference of the input imped-ance among the ideal pattern, shown inFig. 15, and the patterns without and with corrections. For the pattern with correction, the input impedance is close to the impedance of the ideal pattern.

Fig. 16a shows the corresponding score convergence behavior of

five different tested patterns versus the number of generations, where the patterns are corrected by the GA with model-based OPC method. We find the improvement of evolution is continu-ously improved. The convergence moves when the number of gen-eration increases and all tested patterns got similar good accuracy and computational efficiency. For the GA with rule-based OPC, we have similar results of computational efficiency.Fig. 16b shows the sensitivities examination of the extracted parameters in the GA with model-based OPC. This experiment is designed to find out what kind of parameters can notably affect the extraction results. Three kinds of parameters: horizontal segments, vertical segments and corner segments are tested in this examination. If the segment

Fig. 15. Illustration of the port setting for an ideal pattern associated with theFigs. 5(c) and 6(c) in the numerical simulation. We notice that the pattern is with cooper and the material between the pattern and ground is silicon, where the distance is 100 nm.

Table 1

Summary of the simulation results of the magnitude of impedance for the patterns with and without OPC Operation frequency

(GHz)

Ideal pattern With OPC Without OPC

Magnitude of impedance (ohm) Magnitude of impedance (ohm) Absolute error Magnitude of impedance (ohm) Absolute error

1 8089.84 8414.69 4.02% 9415.11 16.38%

10 811 847 4.44% 944 16.40%

100 81 83 2.47% 94 16.05%

The magnitude of impedance of the ideal pattern as shown inFig. 15is adopted as a true value. It is found that the geometry variation has resulted in rather difference of the electrical characteristics for the patterns operated under various frequencies.

Generations

0 50 100 150 200

Score convergence behavior

0.0 0.2 0.4 0.6 0.8 1.0 Number of generations 0 500 1000 1500 2000 Scor e 0.10 0.15 0.20 0.25 0.30 0.35 0.40 Corner Segments Vertical Segments Horizontal Segments

Fig. 16. (a) The score convergence behavior of the GA with model-based OPC. There are five different patterns in this examination as shown in the legend; (b) the sensitivities examination of the parameters to be extracted for the GA with model-based OPC method. According to the original position of segments, the segment can be classified as vertical, horizontal and corner segments, respectively.

(11)

is in the vertical side of the original pattern, it is classified as a ver-tical segment. In the similar way we can define the horizontal and corner segments. The proposed system extracts single parameters category meanwhile locks other parameters. The expected result should show that varying certain parameters category would make notable progress while some others would not.Fig. 16b reveals that the corner segment parameters would make the most improvement. This result confirms the experiment knowledge.

Based on the properties of GA, a simple but efficient parallel GA technique, the so-called isolated GA, is applied in this work.Table 2

summarizes the achieved CPU time, parallel speedup, and effi-ciency of the five tested patterns with the proposed intelligent OPC approach. In this examination, we apply the GA with model-based OPC to optimize five patterns the same in theFig. 16a. The parallel method is to perform GA simultaneously in each node of the cluster. The achieved speedup and efficiency for the parallel GA method is performed on 16-nodes Linux-based PC cluster

[40]. Each PC is constructed with Pentium-IV 2 GHz CPU, 512 MB memory, and Intel 100 MBit fast Ethernet. All PCs in the cluster system are connected with 100 MBit 3Com fast Ethernet switch. Benchmark results, such as speedup and efficiency with respect to the number of processors are estimated for evaluating parallel performances. We find that the number of CPUs increases, the effi-ciency decreases. However, the decreasing becomes slow and ap-proaches to a stable value when the number of CPUs is greater than eight. It is found that a 7-times speedup is maintained and the efficiency is over 45% on the 16 CPUs cluster. It confirms a the-oretical estimation on the efficiency and speedup of the parallel GA

[33]. With this assumption, the efficiency of minimum time cost should be 50% meanwhile the speedup is 0.5  (number of proces-sors). To achieve the minimum time cost with 50% efficiency, the optimal number of processors in this examination should between 8 and 16.

5. Conclusions

In this work, we have proposed an intelligent optical proximity correction technique for process distortion compensation of layout mask. It combines the genetic algorithm, the model- and rule-based technique, and the lithography numerical simulation to per-form the mask correction in sub-wavelength era. Two different strategies were examined in this work. For the GA with rule-based OPC method, additional patterns were generated by rules, and GA was then adopted to decide the size and position of each additional pattern respect to the results of lithography simulation. For the GA with model-based OPC method, we apply the GA and the lithogra-phy simulator to find out the best shape of the layout patterns to counteract the imaging effects that distort patterns on the wafer. In the GA with model-based OPC method, each parameter usually represents the movements of one segment and the parameters can be divided into different categories by the types of the segments. According to the sensitivities examination on each category, we also proposed a specific parameter extraction strategy for the OPC problem. Accuracy and computational efficiency of the meth-ods were verified by a series testing and comparison between fun-damental patterns and experiment data. This approach could be

implemented into CAD tools to improve the simulation efficiency. It benefits the design and process flow for the fabrication of semi-conductor devices and VLSI circuits.

Without considering geometry symmetry issue, application of genetic algorithm in the OPC process has been studied to correct the shape of mask for better exposed image on the wafer. There are many layout patterns within very small dimension; conse-quently, the patterns in a realistic IC layout are significantly af-fected by other patterns and thus it is insignificant for us to consider the symmetry property of the corrected fundamental pat-terns. Nevertheless, we notice if we keep the evolution process running and also include the property of geometry symmetry in the evolutionary strategy; a symmetric result may be obtained eventually for a fundamental pattern with symmetric geometry initially, if any. However, we believe that more theoretical verifica-tion should be done in a future work.

Acknowledgements

This work was supported in part by the National Science Coun-cil of TAIWAN under Contract NSC-96-2221-E-009-210, Contract NSC-96-2752-E-009-003-PAE, and by the MoE ATU Program, Tai-wan, under a 2006-2007 Grant.

References

[1] M. Born, E. Wolf, Principles of Optics, Pergamon Press, London, 1980. [2] H. Gamo, Progress in Optics, North-Holland Publishing Company, 1964. [3] H.H. Hopkins, in: Proceedings of the Royal Society of London. Series A., vol. 217,

no. 1131, 1953, pp. 408–432.

[4] D.C. Cole, E. Barouch, U. Hollerbach, S.A. Orszag, Japan Journal Applied Physics 31 (1992) 4110–4119.

[5] H. Chuang, M. Niewczas, X. Li, A. Strojwas, W. Maly, Technical Digest of International Electron Devices Meeting (1997) 483–486.

[6] F.H. Dill, W. Hornberger, P. Hauge, J. Shaw, IEEE Transactions on Electron Devices ED-22 (7) (1975) 445–452.

[7] J. Du, Q. Huang, J. Su, Y. Guo, Z. Cui, Microelectronic Engineering 46 (1999) 73– 76.

[8] M. Ercken, M. Moelants, G. Vandenberghe, M. Goethals, K. Ronse, S. Masuda, W. Spiess, G. Pawlowski, Microelectronic Engineering 53 (1–4) (2000) 443–447. [9] L. Chen, IEEE Transactions on Semiconductor Manufacturing 12 (1999) 313–

322.

[10] F.M. Schellenberg, L. Capodieci, B. Socha, in: Proceedings of the Design Automation Conference, 2001, pp. 89–92.

[11] F.M. Schellenberg, H. Zhang, J. Morrow, SPIE Symposium on Optical Microlithography, vol. 2726, pp. 680–688.

[12] S. Smith, M. McCallum, A.J. Walton, J.M. Stevenson, A. Lissimore, IEEE Transactions on Semiconductor Manufacturing 16 (2003) 266–272. [13] K. Bhattacharyya, Y.-T. Huang, S. Kong, D. Wang, L. Liu, C.H. Liao, Y.-M. Dai, J.-C.

Lin, Advanced Semiconductor Manufacturing (2004) 285–290.

[14] L.-D. Huang, M.D.F. Wong, in: Proceedings of the Design Automation Conference, 2004, pp. 186–191.

[15] H.G. Teo, M.B. Yu, M.T. Doan, J. Singh, S. Singh, H.Q. Sun, and A.Q. Liu, 2004 Digest of the LEOS Summer Topical Meetings – Biophotonics/Optical Interconnects and VLSI Photonics/WBM Microcavities, 2004, pp. 88–89. [16] G.S. Chua, C.J. Tay, C. Quan, Q. Lin, Microelectronic Engineering 75 (2) (2004)

155–164.

[17] Z. Cui, J. Du, Q. Huang, J. Su, Y. Guo, Microelectronic Engineering 53 (1–4) (2000) 153–156.

[18] Q.-D. Qian, in: Proceedings of the IEEE International Symposium on Quality Electronic Design, 2003, pp. 125–130.

[19] S. Shioiri, H. Tanabe, in: Proceedings of the SPIE Symposium on Optical Microlithography, vol. 2440, 1995, pp. 261–269.

[20] Y. Borodovsky, in: Proceedings of the SPIE Symposium on Optical Microlithography, vol. 2440, 1995, pp. 750–770.

[21] Y. Liu, A. Zakhor, IEEE Transactions on Semiconductor Manufacturing 2 (1992) 138–152.

[22] N. Cobb, Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing, Ph.D Thesis, UC Berkeley, 1998.

[23] J. Stimiman, SEMATECH Lithography Workshop, 1996, pp. 16–18.

[24] M.D. Levenson, N.S. Viswanathan, R.A. Simpson, IEEE Transactions on Electron Devices ED-29 (12) (1982) 1828–1836.

[25] Y. Li, S.-M. Yu, in: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 2005, p. 7.

[26] O.W. Otto, J.G. Garofalo, K.K. Low, C.-M. Yuan, R.C. Henderson, C. Pierrat, R.L. Kostelak, S. Vaidya, P.K. Vasudev, in: Proceedings of the SPIE Symposium Optical Microlithography, vol. 2197, 1994, pp. 278–293.

Table 2

Parallel speedup and efficiency of the GA with model-based OPC method

CPUs Simulation time (s) Speedup Efficiency

1 19833 – –

2 13585 1.46 73.00%

4 8321 2.41 60.25%

8 4370 4.54 56.75%

(12)

[27] S.Y. Lee, J.C. Jacob, C.-M. Chen, J.A. McMillan, N.C. MacDonald, Journal of Vacuum Science Technology B 9 (6) (1991) 3048–3052.

[28] J.-S. Park, C.-H. Park, S.-U. Rhie, Y.-H. Kim, M.-H. Yoo, J.-T. Kong, H.-W. Kim, S.-I. Yoo, in: Proceedings of the IEEE International Symposium on Quality Electronic Design, 2000, pp. 81–85.

[29] M. Nagase, K. Tokashiki, IEEE Transactions on Semiconductor Manufacturing 17 (3) (2004) 281–285.

[30] S.-M. Yu, Y. Li, in: Proceedings of the IEEE International Workshop on Computational Electronics, 2004, pp. 179–180.

[31] S.-M. Yu, Application of Computational Intelligence to Optimal Mask Design for System-on-a-Chip Layout Automation, Master Thesis, National Chiao Tung University, 2004.

[32] J. McCall, Journal of Computational and Applied Mathematics 184 (1) (2005) 205–222.

[33] E. Cantu-Paz, D.E. Goldberg, Computer Methods in Applied Mechanics and Engineering 186 (2000) 221–238.

[34] Y. Li, Microelectronic Engineering 84 (2) (2007) 260–272.

[35] Y. Li, Y.-Y. Cho, Japanese Journal of Applied Physics 43 (4B) (2004) 1717–1722. [36] Y. Li, Y.-Y. Cho, C.-S. Wang, K.-Y. Huang, Japanese Journal of Applied Physics 42

(4B) (2003) 2371–2374.

[37] R. Salomon, IEEE Transactions on Evolutionary Computation 2 (2) (1998) 45– 55.

[38] S.C. Horng, S.Y. Lin, M.H. Cheng, F.Y. Yang, C.H. Liu, W.Y. Lee, C.H. Tsai, in: Proceedings of the Advanced Semiconductor Manufacturing Conference and Workshop, 2003, pp. 286–291.

[39] N. Tutkun, A.J. Moses, Journal of Magnetism and Magnetic Materials 284 (2004) 201–205.

[40] Y. Li, S.-M. Yu, IEEE Transactions on Semiconductor Manufacturing 20 (4) (2007) 432–438.

[41] Y. Li, S. Sze, T. Chao, Engineering with Computers 18 (2) (2002) 124–137. [42] H.-M. Chou, Y. Li, in: Proceedings of the 5th IEEE Conference on

Nanotechnology, vol. 2, 2005, pp. 721–724.

數據

Fig. 1. A schematic outline for an optical projection system.
Fig. 2 b is a flowchart of the proposed approach. Two different
Fig. 5. (a) The layout of the first pattern without OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.
Fig. 7. (a) The layout of the first pattern corrected by the GA with rule-based OPC; (b) the simulated exposed image; and (c) the corresponding experimental result of the layout.
+5

參考文獻

相關文件

You are given the wavelength and total energy of a light pulse and asked to find the number of photons it

Reading Task 6: Genre Structure and Language Features. • Now let’s look at how language features (e.g. sentence patterns) are connected to the structure

(1) principle of legality - everything must be done according to law (2) separation of powers - disputes as to legality of law (made by legislature) and government acts (by

Wang, Solving pseudomonotone variational inequalities and pseudocon- vex optimization problems using the projection neural network, IEEE Transactions on Neural Networks 17

volume suppressed mass: (TeV) 2 /M P ∼ 10 −4 eV → mm range can be experimentally tested for any number of extra dimensions - Light U(1) gauge bosons: no derivative couplings. =>

Define instead the imaginary.. potential, magnetic field, lattice…) Dirac-BdG Hamiltonian:. with small, and matrix

incapable to extract any quantities from QCD, nor to tackle the most interesting physics, namely, the spontaneously chiral symmetry breaking and the color confinement.. 

• Formation of massive primordial stars as origin of objects in the early universe. • Supernova explosions might be visible to the most