• 沒有找到結果。

  (b) 

Fig.5-4 Interface trap densities for (a) 500OC (b) 400OC annealed devices

-2 -1 0 1 2

-1.5 -1.0 -0.5 0.0 0.5 1.0 1.5

-1.5 -1.0 -0.5 0.0 0.5 1.0 1.5

-1.5 -1.0 -0.5 0.0 0.5 1.0 1.5 0.3

0.4 0.5 0.6 0.7 0.8 0.9 1.0

1kHz 10kHz 100kHz 1MHz Capacitance

(

uF/cm2

)

Gate voltage (V)

  (c) 

Fig. 5-7 C-V curves of Pr6O11 (10nm)/In0.53Ga0.47As devices (a) PDA at 450OC. (b) PDA at 500OC (c) PDA at 550 OC. The hysteresis of 1MHz curves of two samples are shown in the inset figure.

 

Fig. 5-8 TEM image of 550OC annealed Pr6O11/In0.53Ga0.47As device

   

 

(a)

(b)

Fig. 5-9 (a) C-V curve, (b) TEM image and EDX analysis of CeO2(9nm)/In0.7Ga0.3As InP device.

 

 

Fig. 5-10 TEM image and EDX analysis of CeO2(8nm)/Pr6O11(4nm)/InAs device

   

-1.5 -1.0 -0.5 0.0 0.5 1.0 1.5

Fig. 5-11 Comparison of C-V characteristic between (a) one-step annealing process (only Pr6O11 was annealed at 500OC), and (b) two-step annealing process (Pr6O11 was first annealed at 500OC, second step annealing was performed at 400OCafter CeO2 deposition) for CeO2 (8nm)/Pr6O11 (4nm)/InAs devices

  (a) 

  (b) 

Fig. 5-12 TEM images of (a) one-step annealed and (b) two-step annealed CeO2 (8nm)/Pr6O11

(4nm)/InAs devices.

-1.5 -1.0 -0.5 0.0 0.5 1.0 1.5

Fig. 5-13 C-V curves of CeO2(6nm)/Pr6O11(4nm)/InAs devices of different annealing temperatures. (a) Pr6O11 was first annealed at 500OC, followed by 400OC annealing after CeO2 deposition. (b) Pr6O11 was first annealed at 550OC, followed by 400OC annealing after CeO2 deposition.

  (a) 

  (b) 

Fig. 5-14 TEM images of CeO2(6nm)/Pr6O11(4nm)/InAs devices first step annealed at (a) 500OC (b) 550OC. And both annealed at 400OC after 6nm CeO2 deposition. The Pr6O11 beneath the CeO2

transform from amorphous type to poly-crystalline as the annealing temperature increase

Table 5‐1 Extracted equivalent oxide thickness (EOT) from 10kHz curve of  CeO2/Pr6O11/InAs devices 

@10kHZ Two‐step annealed 

One‐step  annealed 

Samples* A  B  C  D 

EOT(nm)  3.51  4.14  4.71  5.32 

A: CeO2 (6nm)/Pr6O11 (4nm)/InAs/In0.7Ga0.3As/In0.53Ga0.47As/InP  (Pr6O11 first PDA at 550OC, CeO2 second PDA at 400OC)      B: CeO2 (6nm)/Pr6O11 (4nm)/InAs/In0.7Ga0.3As/In0.53Ga0.47As/InP  (Pr6O11 first PDA at 500OC, CeO2 second PDA at 400OC)      C: CeO2 (8nm)/Pr6O11 (4nm)/InAs/In0.7Ga0.3As/In0.53Ga0.47As/InP  (Pr6O11 first PDA at 500OC, CeO2 second PDA at 400OC)      D: CeO2 (8nm)/Pr6O11 (4nm)/InAs/In0.7Ga0.3As/In0.53Ga0.47As/InP  (Only Pr6O11 first PDA at 500OC) 

Table 5‐2 Extracted equivalent oxide thickness (EOT) from 10kHz curve of HfO

(9nm)/InAs devices 

@10kHz  PDA at 400OC PDA at 500OC

EOT(nm)  3.49  3.85 

 

   

Chapter 6 Conclusion

The HfO2, Pr6O11 and CeO2/Pr6O11 were deposited on n-InxGa1-xAs for MOS capacitors studies. HfO2/In0.53Ga0.47As MOS capacitors showed good scalability with minimum EOT of 2.9nm for 6nm HfO2 device. The inversion behavior of the devices was also studied. The high frequency inversion behavior was more pronounced for device with high indium concentration, possibly due to the smaller band gap of InAs because it has higher intrinsic carrier concentration and shorter carrier life time, which resulted in short minority carrier response time which makes the carriers follow the small signal and form inversion layer. The PDA temperature for HfO2/InAs devices was also characterized. 400OC annealed device showed larger frequency dispersion than 500OC annealed device, which was possibly due to the high interface trap density.

Pr6O11 was used to replace HfO2 to increase the capacitance of the MOS capacitor, the capacitance was increased owing to the high dielectric constant, but the inversion behavior was not apparent due to high interface trap pinning of the Fermi level. High temperature annealing may unpin the Fermi level and form inversion layer, but it also resulted in deep-depletion phenomenon. The deep-depletion phenomenon could be due to more oxide charges were induced during annealing process, which can be examined from the hysteresis performance. Also the poly-crystalline structure provided the current leakage paths. To balance the charge lost due to inversion, depletion region will further expand exceeding its thermal equilibrium width, resulted in deep- depletion phenomenon.

The CeO2/Pr6O11 gate stack was also applied on InAs. Two step annealing process

was performed to increase the accumulation capacitance. The increase was due to the oxide thickness decrease during second step annealing process after CeO2 deposition.

The thickness of the gate stack was also characterized, CeO2(6nm)/Pr6O11 gate stack exhibited larger leakage current than 8nm CeO2 due to the decrease of physical thickness. Device annealed at 550OC showed more severe current leakage than device annealed 500OC, which was due to the poly-crystallized gate oxide.

As compared to HfO2 gated device, the CeO2/Pr6O11 gate stack structure didn’t show the high-k characteristics, which may due to the rough surface and poly-crystallized structure provided the current leakage path which caused the device unable to store charge sufficiently. But since both CeO2 and Pr6O11 have relatively high dielectric constant, especially for single crystal CeO2 with dielectric constant of 52, the CeO2/Pr6O11 gate stack would be the potential high-k stack candidate. As for HfO2

gated devices, due to its good scalability and thermal stability, and the well pronounced inversion behavior observed in high indium concentration InxGa1-xAs, the HfO2/InxGa1-xAs would be the most potential MOS devices in future CMOS industry.

Reference

[1] S.E. Thompson, M. Armstrong, C. Auth, M. Alavi, M. Buehler, R. Chau, S. Cea, T. Ghani, G. Glass, T. Hoffman, C.-H. Jan, C. Kenyon, J. Klaus, K. Kuhn, Zhiyong Ma; B. Mcintyre, K. Mistry, A. Murthy, B. Obradovic, R. Nagisetty, Phi Nguyen, S. Sivakumar, R. Shaheed, L. Shifren, B. Tufts, S. Tyagi, M. Bohr, and Y. El-Mansy, “A 90-nm logic technology featuring strained-silicon,” IEEE Trans

Electron Devices, vol. 51, pp. 1790-1797, Nov. 2004.

[2] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M.

Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R.

Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks , R. Huessner, D.

Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C.

Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren°, J.

Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P.

Vandervoorn, S. Williams, K. Zawadzki, “A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging,” IEDM Tech. Dig., pp.

247-250 (2007)

[3] W. E. Spicer and A. M. Green, “Reaching consensus and closure on key questions, a history of success, and failure of GaAs surfaces and interfaces at the Proceedings of the Physics and Chemistry of Semiconductor Interfaces,” J. Vac.

Sci. Technol. B B11, 1347 (1993).

[4] J. F. Zheng, W. Tsai, T. D. Lin, Y. J. Lee, C. P. Chen, M. Hong and J. Kwo,

“Ga2O3(Gd2O3)/Si3N4 dual-layer gate dielectric for InGaAs enhancement mode metal-oxide-semiconductor field-effect transistor with channel inversion,” Appl.

Phys. Lett. 91, 223502 (2007).

[5] Y. Xuan, H. C. Lin, P. D. Ye and G. D. Wilk, “Capacitance-voltage studies on enhancement-mode InGaAs metal-oxide-semiconductor field-effect transistor using atomic-layer-deposited Al2O3 gate dielectric,” Appl. Phys. Lett. 88, 263518 (2006).

[6] P. D. Ye, G. D. Wilk, B. Yang, J. Kwo, H.-J. L. Gossmann, M. Hong, K. K. Ng, and J. Bude, “Depletion-mode InGaAs metal-oxide-semiconductor field-effect transistor with oxide gate dielectric grown by atomic-layer deposition” Appl. Phys.

Lett. 84, pp.434-436 (2004).

[7] H. C. Lin, T. Yang, H. Sharifi, S. K. Kim, Y. Xuan, T. Shen, S. Mohammadi, and P. D. Ye, “Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric,” Appl. Phys. Lett. 91, 212101 (2007).

[8] Y. Xuan, P. D. Ye, H. C. Lin and G. D. Wilk, “Minority-carrier characteristics of InGaAs metal-oxide-semiconductor structures using atomic-layer-deposited Al2O3

gate dielectric,” Appl. Phys. Lett. 89, 132103 (2006).

[9] E. O'Connor, R. D. Long, K. Cherkaoui, K. K. Thomas, F. Chalvet, I. M. Povey, M. E. Pemble, P. K. Hurley, B. Brennan, G. Hughes and S. B. Newcomb, “In situ H2S passivation of In0.53Ga0.47As/InP metal-oxide-semiconductor capacitors with atomic-layer deposited HfO2 gate dielectric,” Appl. Phys. Lett. 92, 022902 (2008).

[10] N. Goel, P. Majhi, C. O. Chui, W. Tsai, D. Choi and J. S. Harris, “InGaAs metal-oxide-semiconductor capacitors with HfO2 gate dielectric grown by atomic-layer deposition,” Appl. Phys. Lett. 89, 163517 (2006).

[11] T. Yang, Y. Xuan, D. Zemlyanov, T. Shen, Y. Q. Wu, J. M. Woodall, P. D. Ye, F.

S. Aguirre-Tostado, M. Milojevic, S. McDonnell, and R. M. Wallace, “Interface studies of GaAs metal-oxide-semiconductor structures using atomic-layer-deposited HfO2/Al2O3 nanolaminate gate dielectric,” Appl. Phys. Lett.

91, 142122 (2007).

[12] M. Kobayashi, P. T. Chen, Y. Sun, N. Goel, P. Majhi, M. Garner, W. Tsai, P.

Pianetta, and Y. Nishi, “Synchrotron radiation photoemission spectroscopic study of band offsets and interface self-cleaning by atomic layer deposited HfO2 on In0.53Ga0.47As and In0.52Al0.48As,” Appl. Phys. Lett. 93, 182103 (2008).

[13] Yukie Nishikawa, Noburu Fukushima, Naoki Yasuda, Kohei Nakayama and Sumio Ikegawa, “Electrical Properties of Single Crystalline CeO2 High-k Gate Dielectrics Directly Grown Si (111),” Jpn. J. Apple. Phys. Vol.41 pp.2480-2483 (2002)

[14] G. K. Dalapati, A. Sridhara, A. S. W. Wong, C. K. Chia, and D. Z. Chi, “HfOxNy gate dielectric on p-GaAs,” Appl. Phys. Lett. 94, 073502 (2009).

[15] S. Koveshnikov, W. Tsai, I. Ok, J. C. Lee, V. Torkanov, M. Yakimov, and S.

Oktyabrsky, “Metal-oxide-semiconductor capacitors on GaAs with high-k gate oxide and amorphous silicon interface passivation layer” Appl. Phys. Lett. 88, 022106 (2006).

[16] Donghun Choi, James S. Harris, Maitri Warusawithana and Darrell G. Schlom,

“Annealing condition optimization and electrical characterization of amorphous LaAlO3/GaAs metal-oxide-semiconductor capacitors,” Appl. Phys. Lett. 90, 243505 (2007).

[17] S. M. SZE, Semiconductor devices, physics and technology 2nd edition, Wiley (2002)

[18] G. D. Wilk, R. M. Wallace and J. M. Anthony, “High- gate dielectrics: Current status and materials properties considerations,” J.Appl. Phys. 89, 5243 (2001) [19] J. Robertson and B. Falabretti, “Band offsets of high K gate oxides on high

mobility semiconductors,” Mater. Sci. Eng. B 135 (2006) 267–271

[20] G. Brammertz, H. C. Lin, K. Martens, D. Mercier, C. Merckling, J. Penaud, C.

Adelmann, S. Sioncke, W. E. Wang, M. Caymax, M. Meuris, and M. Heynsa,

“Capacitance–Voltage Characterization of GaAs–Oxide Interfaces,” J.

Electrochem. Soc. 155 (12) H945-H950 (2008)

[21] Eric M. Vogel, W. Kirklen Henson, Curt A. Richter, and John S. Suehle,

“Limitations of conductance to the measurement of the interface state density of MOS capacitors with tunneling gate dielectrics,” IEEE Trans Electron Devices, vol. 47, pp. 601-608, Mar. 2000.

[22] Dieter K. Schroder, Semiconductor Material and Device Characterization, Third Edition, Wiley (2006) p.347-350

[23]Y. C. Chang, M. L. Huang, K. Y. Lee, Y. J. Lee, T. D. Lin, M. Hong, J. Kwo, T.

S. Lay, C. C. Liao, and K. Y. Cheng, “Atomic-layer-deposited HfO2 on In0.53Ga0.47As: Passivation and energy-band parameters,” Appl. Phys. Lett. 92, 072901 (2008).

[24] Nicollian E H and Brews J R 1982 MOS (Metal Oxide Semiconductor) Physics and Technology (New York: Wiley) p. 139

[25] N. Goel, P. Majhi, W. Tsai, M. Warusawithana, D. G. Schlom and M. B. Santos,

“High-indium-content InGaAs metal-oxide-semiconductor capacitor with amorphous LaAlO3 gate dielectric,” Appl. Phys. Lett. 91, 093509 (2007).

[26] K. S. K. Kwa, S. Chattopadhyay, N. D. Jankovic, S. H. Olsen, L. S. Driscoll and A. G. O’Neill, “A model for capacitance reconstruction from measured lossy MOS capacitance–voltage characteristics,” Semicond. Sci. Technol. 18 (2003) pp.82–87

[27] Kevin J. Yang and Chenming Hu “MOS capacitance measurements for

high-leakage thin dielectrics,” IEEE Trans Electron Devices, vol. 46, pp.

1500-1501, Jul. 1999.

[28] S.M. Sze and KWOK K. NG, Physics of Semiconductor Device Third Edtion, Wiley (2007) p.215

[29] Nicollian E H and Brews J R 1982 MOS (Metal Oxide Semiconductor) Physics and Technology (New York: Wiley) p. 375

相關文件