• 沒有找到結果。

Conclusion and Future Work

In this thesis, we have shown several approaches on optimizing LPSC in physical design and their tradeoffs in previous sections. With the LPSC reordering, we can alleviate both test power and routing overhead issues on scan chain design. Since we do not constrain chaining scan cells in a specific cluster region, our approach has more freedom to choose better scan cell connection. With well defined cost function for weighted graph, we can also obtain better test power and routing cost optimization more explicitly.

In the past, scan chain reordering focused on shortening connection length, re-ducing routing congestion, making TSP algorithm more efficient, moderating scan chain timing violation, etc. These scan chain optimization approaches may alleviate impact on routing overhead or timing convergence in the design. As scan based BIST test architecture becomes more popular in modern ASICs and SoCs, scan test power problem should also be paid much attention to. From our experimental results, we can control parameter to adjust about 30% scan test power reduction ratio. While the optimizing degree in scan test power is strongly correlated with scan chain routing overhead, we can not always to have β=1.0 which has best scan test power reduction ratio but poorest routing congestion. On the other hand, scan test power reduction ratio generating by considering both power and routing

over-head may not be enough for test mode in modern circuit design since test mode may consume twice more power than normal function mode. In order to further reduce test power, we can cooperate our scan chain reordering approach with multiple scan chains architectures [13]. Since multiple scan chains architectures can shorten tran-sition shifting path, this can enormously reduce switching per scan cell. We have experimented on two scan chains architecture on benchmark s9234 and found that we can have 50% transition reduction ratio with normal wire length driven scan chain reordering and 62% transition reduction ratio in our reordering approach with β=1.0. Again, we can control scan test power reduction ratio from 50% to 62% by

adjusting β.

To further reduce test mode power, we can add low power ATPG test patterns in the design and use this technique to collaborate with our reordering approach and multiple scan chain architecture. We will focus on integrating these technique and continue discussing low power test architecture in our future work.

Bibliography

[1] “http://www-users.cs.umn.edu/ karypis/metis/hmetis/”.

[2] “http://www.syntest.com/”.

[3] “http://www.tsp.gatech.edu/”.

[4] PrimePower. Synopsys Inc.

[5] Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and A. Virazel. “Design of Routing-Constrained Low Power Chains”. In IEEE Pro-ceedings, Design, Automation and Test in Europe Conference and Exhibition, pages 62–67, 2004.

[6] Y. Bonhomme, P. Girard, C. Landrault, and S. Pravossoudovitch. “Power Driven Chaining of Flip-Flop in Scan Architectures”. In IEEE International Test Conference, pages 796–803, 2002.

[7] Y. Bonhomme, T. Yoneda, H. Fujiwara, and P. Girard. “Efficient Scan Chain Design for Power Minimization during Scan Testing Under Routing Con-straint”. In VLSI Test Symposium, pages 488–493, 2003.

[8] M. A. Breuer. “A Class of Min-Cut Placement Algorithms”. In Proceedings IEEE/ACM Design Automation Conference, pages 284–290, 1997.

[9] D. Bryant F. Brglez and K. Kozminski. “Combinational Profiles of Sequential Benchmark Circuits”. In Proceedings Internationl Symposium on Circuits and Systems, pages 1929–1934, 1989.

[10] P. Girard. “Survey of Low-Power Testing of VLSI Circuit”. In VLSI Test Symposium, pages 82–92, 2002.

[11] A. Grouch. “Design-for-Test for Digital IC’s and Embedded Core Systems”.

Prentice Hall, 1999.

[12] M. Hirech, J. Beausang, and Xinli Gu. “A New Approach to Scan Chain Reordering Using Physical Design Information”. In VLSI Test Symposium, pages 348–355, 1998.

[13] Mani Soma Kambiz Rahimi. “Layout Driven Synthesis of Multiple Scan Chains”. In tcad, pages 317–326, 2003.

[14] K.-H. Lin, C.-S. Chen, and T.T Hwang. “Layout-driven Chaining of Scan flip-flops”. In IEEE Proceedings Computers and Digital Techniques, pages 421–425, 1996.

[15] E. S. Kuh M. A. B. Jackson. “Performance-Driven Placement of Cell Based IC’s”. In Proceedings IEEE/ACM Design Automation Conference, pages 370–

375, 1989.

[16] X. Yang M. Wang and M. Sarrafzadeh. “Dragon2000: Standard-cell Placement Tool for Large Industry Circuits”. In Proceedings IEEE/ACM International Conference on Computer-Aided Design, pages 260–263, 2000.

[17] Xiaojian Yang Majid Sarrafzadeh, Maogang Wang. “Modern Placement Tech-niques”. Springer, 2002.

[18] Vishwani D. Agrawal Michael L. Bushnell. “Essentials of Electronic Teesting for Digital, Memory, and Mixed-Signal VLSI Circuits”. Kluwer Academic Pub-lishers, 2000.

[19] R. Sankaralingam, R.R. Oruganti, and N.A Touba. “Static Compaction Tech-niques to Control Scan Vector Power Dissipation”. In VLSI Test Symposium, pages 35–40, 2000.

[20] M. Sarrafzadeh and M. Wang. “NRG: Global and Detailed Placement”. In Proceedings IEEE/ACM International Conference on Computer-Aided Design, pages 164–169, 1997.

[21] Sangiovanni-Vincentelli A. Sechen, C. “The TimberWolf placement and routing package”. In solid, pages 510–522, 1985.

[22] Seongmoon Wang and S.K. Gupta. “ATPG for Heat Dissipation Minimization during Scan Testing”. In Proceedings IEEE/ACM Design Automation Confer-ence, pages 614–619, 1997.

[23] Y. Zorian. “A Distributed BIST Control Scheme for Complex VLSI Devices”.

In VLSI Test Symposium, pages 4–9, 1993.

自傳

許力中,1980 年 10 月 17 日生,台灣省台中市人◦ 2003 年自交通大學電子工

程系畢業,繼續進入交大電子工程研究所攻讀碩士學位,研究興趣為超大 型積體電路實體自動化設計與計算機結構,主修為數位電路設計與電腦輔 助設計◦論文題目為「低功率循序串列於細部佈局、佈局之後及繞線上最佳 化方法研究」◦

相關文件