• 沒有找到結果。

3-3 Analysis of the Distribution of Interface Trap Density

The measurement presented in section 2-2.3 was used to extract lateral distribution of interface trap state. It should be noted that the local Vth and Vfb, across the MOSFET, are not uniform due to the lateral doping variation as shown in figure 3.18. In order to detect the interface states, the voltage pulses applied during measurements must

undergo alternate accumulation and inversion cycles. Therefore, there should be no Icp as the high-level voltage (Vh) is lower than the minimum Vth under the gate. Only after Vh starts to exceed the local Vth in the channel will Icp begin to grow. Before Vh reaches the maximum local Vth in the channel, only interface states residing near the drain side will contribute to Icp, as the needed electrons cannot yet flow to the drain side from the source.

We choose the control sample for an example. If we assume that the interface state density is spatially uniform along the channel, which can be written as

,max

cp it

I =q f N W L (3-1) where f is the gate pulse frequency, W is the channel width, and L is the channel length.

Because Vth is not uniformly distributed, when Vh reaches the maximum local Vth in the channel, only interface states residing near the drain side (i.e., the shadow region in figure 3.18) will contribute to Icp. In figure 3.19, the corresponding Icp (Vh) comes from the interface state distributed in the region between the gate edge and the position where its local Vth equals Vh, i.e.,

( )

cp h it

I V =q f N W x (3-2) where x represents the distance from the gate edge to the position where Vth (x) = Vh. Comparing (3-1) and (3-2), we can derive

( )

Figure 3.20 shows the local Vth versus distance x of the control sample. The local Vth

decreases sharply as x is smaller than 0.07 µm. We can presume that the drain junction is near x = 0.07 µm.

After subjecting to 10 second of hot carrier stress (VG@Isubmax and VDS = 4.5 V), the incremental charge pumping current (∆Icp), as shown in Fig. 3.21, at a given Vh, is

proportional to the number of generated interface traps from the gate edge to the point x. Therefore, the Nit(x) generated by the hot carrier stress can be expressed as follows:

( )

1 1

The relationship of dVh

dx versus x can be derived from Vh versus x, so the lateral distribution, Nit (x), could be obtained from the procedure mentioned above.

By the same procedure, the derived lateral profiles of the interface states for all splits of devices could be extracted by Eq. (3-5), and the results are shown in figure 3.22.

From this figure we can directly calculate the damage region and the amount of interface states generated by the hot-carrier stress. We can see that the damage region is confined within the drain edge in all splits. This is reasonable since the hot-carrier effect is localized. It is obviously seen that interface state generation sharply increases in SiN-capped samples near the drain region, and the SiN-removal samples also show larger degradation than the control counterparts, even though the channel strain has been eliminated in these devices. These results are consistent with those mentioned above in section 3-2. In short, channel strain is responsible for the gravest hot carrier degradation observed in SiN-capped samples, while hydrogen species piled up at the source/drain edge during the SiN deposition is responsible for the slightly larger interface state generation in the SiN-removal devices over the control devices.

Chapter 4 Summary and Conclusion

In this thesis, the effects of LPCVD SiN process and the channel strain induced by the SiN-capping layer on the device characteristics and hot-electron degradation were investigated. Several important phenomena were observed and summarized as follows.

First, the channel strain induced by the SiN capping layer over the gate greatly boosts the drive current of short-channel devices. For example, enhancement ratio up to 20 % is achieved for the device with 300nm SiN-capping layer at a channel length of 0.4 µm. The SiN-removal devices show slightly higher Gm than the control sample owing to the passivation of the hydrogen species during the deposition process. Thermal budget associated with the deposition of the SiN capping layer could alleviate the reverse short-channel effect of the uncapped devices. Moreover, the bandgap narrowing effect due to the channel strain may result in further lowering in Vth as the channel length is shortened.

Secondly, hot-electron degradation is adversely affected when the SiN is deposited over the gate, even if the channel strain is relieved by subsequent SiN removal. The accompanying bandgap narrowing and the increased carrier mobility tend to worsen the hot-electron reliability in the SiN-capped devices. However, the hot carrier degradation of devices with SiN capping is independent of SiN thickness due to bandgap narrowing.

Finally, enhanced edge effect caused by the hot carrier stress is also observed in SiN-removal devices.

In this work, additional thermal budget and hydrogen species are the two prime culprits for aggravated reliabilities in strained devices. Optimization of both SiN deposition process and the film properties are thus essential for the implementation of the uniaxial strain in NMOS devices.

References:

[1] G. Timp, A. Agarwal, F. H. Baumann, T. Boone, M. Buonanno, R. Cirelli, V.

Donnelly, M. Foad, D. Grant, M. Green, H. Gossmann, S. Hillenius, J. Jackson, D.

Jacobson, R. Kleiman, A. Kornblit, F. Klemens, J. T. Lee, W. Mansfield, S. Moccio, A. Murrell, M. O'Malley, J. Rosamilia, J. Sapjeta, and P. Silverman, “Low leakage, ultra-thin gate oxides for extremely high performance sub-100nm nMOSFETs”, IEDM Tech. Dig., pp.930-932, December 1997.

[2] B. Maiti, P. J. Tobin, V. Misra, R. I. Hegde, K. G. Reid, and C. Gelatos, “High performance 20Å NO oxynitride for gate dielectric in deep sub-quarter micron CMOS technology”, IEDM Tech. Dig., pp.651-654, December 1997.

[3] T. Mizuno, , S. Takagi, , N. Sugiyama, H. Satake, A.Kurobe, and A. Toriumi,

“Electron and hole mobility enhancement in strained-Si MOSFET”s on SiGe-on-insulator substrates fabricated by SIMOX technology”, Electron Device Lett., Vol. 21, pp.230-232, May 2000.

[4] “Process integration, devices, and structure”, in International Technology Roadmap for Semiconductors,2003

[5] “Process integration, devices, and structure”, in International Technology Roadmap for Semiconductors,2005

[6] J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A. Fitzgerald, and D. A. Antoniadis, “Strained silicon MOSFET technology”, IEDM Tech. Dig., pp.23-26, December 2002.

[7] B. H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, K. Rim, P. O'Neil, R. Mo, K.

Chan, C. Cabral, C. Lavoie, D. Mocuta, A. Chakravarti, R. M. Mitchell, J.

Mezzapelle, F. Jamin, M. Sendelbach, H. Kermel, M. Gribelyuk, A. Domenicucci, K. A. Jenkins, S. Narasimha, S. H. Ku, M. Ieong, I. Y. Yang, E. Leobandung, P.

Agnello, W. Haensch, and J. Welser, “Performance enhancement on sub-70nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D”, IEDM Tech. Dig., pp.946-948, December 2002.

[8] 林宏年, 呂嘉裕, 林鴻志, 黃調元, “局部與全面形變矽通道 (strained Si channel) 互補式金氧半 (CMOS) 之材料、製程與元件特性分析 (I)”, 奈米通 訊第十二卷第一期

[9] J. Welser, J. L. Hoyt, S. Takagi, and J. F. Gibbons,” Strain dependence of the performance enhancement in strained-Si n-MOSFETs”, IEDM Tech. Dig., pp.373-376, December 1994.

[10] S. E. Thompson, G. Sun, K. Wu, J. Kim, and T. Nishida, “Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs”, IEDM Tech. Dig., pp.221-224, December 2004.

[11] C. W. Leitz, M. T. Currie, M. L. Lee, Z.-Y. Cheng, D. A. Antoniadis and E. A.

Fitzgerald, “Hole mobility enhancements and alloy scattering-limited mobility in tensile strained Si/SiGe surface channel metal–oxide–semiconductor field-effect transistors”, J. Appl. phys., Vol.92, pp.3745-3751, 2002

[12] T. Mizuno, N. Sugiyama, T. Tezuka, T. Numata, T. Maeda, and S. Takagi,” Design for scaled thin film strained-SOI CMOS deviceswith higher carrier mobility”, IEDM Tech. Dig., pp.31-34, December 2002.

[13] S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N.

Ikezawa, T. Suzuki, T. Saitoh, and T. Horiuchi, “Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design”, IEDM Tech.

Dig., pp.247-250, December 2000.

[14] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, and F. Ootsuka, “Local mechanical-stress control (LMC): A new technique for

CMOS-performance enhancement”, IEDM Tech. Dig., pp. 433 - 436, December 2001.

[15] G. Scott, J. Lutze, M. Rubin, F. Nouri, and M. Manley, “NMOS drive current reduction caused by transistor layout and trench isolation induced stress”, IEDM Tech. Dig., pp.827-830, December 1999.

[16] T. Matsumoto, S. Maeda, H. Dang, T. Uchida, K. Ota, Y. Hirano, H. Sayama, T.

Iwamatsu, T. Ipposhi, H. Oda, S. Maegawa, Y. Inoue, and T. Nishimura, “Novel SOI wafer engineering using low stress and high mobility CMOSFET with

<100>-channel for embedded RF/analog applications,” IEDM Tech. Dig., pp.663-666, December 2002.

[17] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K.

Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M.

Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, and M. Bohr, “A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors”, IEDM Tech. Dig., pp.978-980, December 2003.

[18] A. Steegen, M. Stucchi, A. Lauwers, and K. Maex, “Silicide induced pattern density and orientation dependent transconductance in MOS transistors”, IEDM Tech. Dig., pp.497-500, December 1999.

[19] S. Maikap, M. H. Liao, F. Yuan, M. H. Lee, C. Huang, S. T. Chang, and C. W.

Liu, ”Package-strain-enhanced device and circuit performance”, IEDM Tech. Dig., pp.233-236, December 2004.

[20] C. Ge, C. Lin, C. Ko, C. Huang, Y. Huang, B. Chan, B. Perng, C. Sheu, P. Tsai, L.

Yao, C. Wu, T. Lee, C. Chen, C. Wang, S. Lin, Y. Yeo, and C. Hu,

“Process-strained Si (PSS) CMOS technology featuring 3D strain engineering”, IEDM Tech. Dig., pp.73-76, December 2003.

[21] J. Goo, Q. Xiang, Y. Takamura, F. Arasnia, E. N. Paton, P. Besser, J. Pan, and M.

Lin, “Band offset induced threshold variation in strained-Si nMOSFETs”, IEEE Electron Device Lett., Vol. 24, pp.568-570, September 2003.

[22] E. Li, E. Rosenbaum, J. Tao, and P. Fang, “Projecting lifetime of deep submicron MOSFETs”, IEEE Trans. on Electron Devices, vol. 48, pp. 671-678, Apr. 2001 [23] H. S. Momose, S. Nakamura, T. Ohguro, T. Yoshitomi, E. Morifuji, T. Morimoto, Y.

Katsumata, and H. Iwai, “A study of hot-carrier degradation in n- and p-MOSFETs with ultra-thin gate oxides in the direct-tunneling regime”, in IEDM Tech. Dig., 1997, pp. 453-456.

[24] A. Schwerin, W. Hansch, and W. Weber, “The relationship between oxide charge and device degradation: A comparative study of n- and p- channel MOSFET's”, IEEE Trans. on Electron Devices, vol. ED-34, pp. 2493-2500. Dec. 1987.

[25] P. Heremans, R. Bellens, G. Groeseneken, and H. Maes, “Consistent model for the hot-carrier degradation in n-channel and p-channel MOSFETs”, IEEE Trans. on Electron Devices, vol. 35, pp. 2194-2209. Dec. 1988.

[26] G. Groeseneken, H. E. Maes, N. Beltran, and R. F. Dekeersmaecker, “ A reliable approach to charge-pumping measurements in MOS transistor,” IEEE Transactions on Electron Devices, Vol. ED-31, pp42-53, 1984.

[27] S. S. Chung, S.-J. Chen, C.-K. Yang, S.-M. Cheng, S.-H. Lin, Y.-C. Sheng, H.-S.

Lin, K.-T. Hung, D.-Y. Wu, T.-R. Yew, S.-C. Chien, F.-T. Liou, and F, Wen , “A novel and direct determination of the interface Traps in sub-100nm CMOS devices with direct tunneling regime (12-16Å) gate oxide,” VLSI Tech. Symposium, 2002.

[28] Pascal Masson, Jean-Luc Autran, and Jean Brini, “On the tunneling component of charge pumping current in ultrathin gate oxide MOSFET’s,” IEEE Electron Device Lett., pp. 92-94, 1999

[29] Dieter K. Schroder, “Semiconductor material and device characterization,” Second

Edition.

[30] C. Chen and T. P. Ma, “Direct lateral profiling of hot-carrier-induced oxide charge and interface traps in thin gate MOSFET’s”, IEEE Electron Devices, vol.45, pp.512-520, Feb. 1998.

[31] M. Tsuchiaki, H. Hara, T. Morimoto, and H. Iwai, “A new charge pumping method for determining the spatial distribution of hot-carrier induced fixed charge in p-MOSFET’s”, IEEE Trans. Electron Devices, vol. 40, pp. 1768–1778, Oct. 1993.

[32] H. I. Hanafi, W. P. Noble, R. S. Bass, K. Varahramyan, Y. Lii, and A. J. Dally, “A model for anomalous short-channel behavior in submicron MOSFETs”, IEEE Electron Device Lett., vol. 14, pp. 575-577, Dec. 1993.

[33] M. F. Lu, S. Chiang, A. Liu, S. H. Lu, M. S. Yeh, J. R. Hwang, T.H. Tang, W.T.

Shiau, M. C. Chen and T. Wang, “Hot carrier degradation in novel strained-Si nMOSFETs”, in Proc. Int. Reliability Physics Symp., pp. 18-22, 2004.

[34] Srinivasan, P.; Vootukuru, B.; Misra, D.,” Screening in Si-H bonds during plasma processing”, Semiconductor Device Research Symposium, pp. 462-463 Dec. 2003 [35] Kangguo Cheng; Jinju Lee; Karl, H.; Lyding, J.W.; Young-Kwang Kim;

Young-Wug Kim; Kwang-Pyuk Suh,” Improved hot-carrier reliability of SOI transistors by deuterium passivation of defects at oxide/silicon interfaces”, IEEE Trans. Electron Devices, vol. 4, pp. 529–531, March 2002 1993.

[36] N. Sano, M. Tomizawa, and A. Yoshii, “Temperature dependence of hot carrier effects in short-channel Si-MOSFETs”, IEEE Trans. on Electron Devices, vol. 42, pp. 2211-2216. Dec. 1995.

[37] J. E. Chung, P.-K. Ko, and C. Hu, “A model for hot-electron-induced MOSFET linear-current degradation based on mobility reduction due to interface-state generation”, IEEE Trans. on Electron Devices, vol. 38, pp. 1362-1370, June 1991.

Figures

Fig.1.1 Logical potential solution on International Technology Roadmap for Semiconductors. (a) From Process Integration, Devices, and Structure in 2003 [4]. (b) From Process Integration, Devices, and Structure in 2005 [5].

Qualification /

相關文件