• 沒有找到結果。

Plasma Treatment

3.2.4 NBTI in HfO 2 /SiON Gate Stacks

It is well-known that for the SiO2-based gate dielectrics, high voltage stress on the gate electrode of MOSFETs could change flatband or threshold voltage, in particular at elevated temperatures. This phenomenon is called bias temperature instability (BTI). Most of BTI researches on the SiO2 dielectric are focused on the negative BTI, because of aggravated degradation compare with positive BTI. Even more, Kimizuka et al. revealed that as the gate oxide thickness is scaled down to the range of 3.5nm, NBTI could become the bottleneck limitation to the SiO2 scaling than NMOS HCI [34]. NBTI of pMOSFETs is an important reliability issue for both digital and analog applications. Despite the many works on NBTI in order to keep NBTI at bay, including better modeling and improved processes, the basic rootcause mechanism is still not fully understood. Ogawa et al. proposed a model based on their experimental results [35].

3 3

SiSiH +p+Si ≡ ∗ +Si H+ Reaction-limited (3.1)

3 3 i

SiSiHSi ≡ ∗+ Si H Diffusion-limited (3.2)

3 3 i

OSiH+ p+O ≡ ∗ +Si H (3.3)

interface bulk

(H+,Hi) ⇔(H+,Hi) (3.4)

It can be seen that the model can be separated into reaction-limited and diffusion-limited processes. The reaction-limited is dependent on the number of holes near the interface available to interact with Si-H bonds. Once the reaction-limited processes are equilibrated, the diffusion-limited processes are dependent on the rate of diffusion of hydrogen away from the

interface.

Figs. 3-25 (a) and (b) show Id, Gm-Vg characteristics before and after Vgo=-1.5V stress at room temperature and 125°C, respectively. A parallel shift of Id-Vg curve can be seen, and indicates that less △Nit is generated. Gm degradation is also alleviated. For confirmation, the generated interface state densities versus stress time are shown in Fig. 3-26. We can recall that the fresh Nit value is on the order of 8x1011 /cm2, which means that the amount of △Nit is relatively small, compared to Nit. △Nit is shown to obey the power-law, and the index is 0.24, exactly the same value as that in the conventional SiO2, indicating that △Nit follows the reaction-diffusion model. Fig. 3-27 shows that △Vthc, increases with increasing temperature.

The Dependence of △Nit and △Ntot on stress time is shown in Fig. 3-28. △Ntot is larger than

△Nit by more than an order, indicating that the bulk traps in HfO2, rather than interface state density generation, is responsible for the transistor degradation.

After a gate overdrive stress of -2V for 1000 seconds at either room temperature or 125°C, the resultant Id, Gm-Vg are shown in Figs. 3-29 (a) and (b), respectively. We can see that Id depicts only a parallel shift after 1000 seconds stress, and Gm peak shows less than 5%

degradation at room temperature. Similar trend is observed for the high temperature case.

Subthreshold swing changes only slightly after stressing. As shown in Fig. 3-30, the generated interface state densities are degraded by the temperature stress as expected. According to the theory of NBTI, the threshold voltage shift (|△Vthc|) should keep increasing because of the increasing oxide traps. Unfortunately, it does not follow the predicted trend in our samples, as shown in Fig. 3-31. There are two possibilities: one is the increased electron trapping that compensates the hole trapping, and the other is the occurrence of recombination of the hole trapping. Fig. 3-32 clarifies the culprit of threshold voltage shift, whether it stems from the oxide traps or the interface states. At low temperature, △Ntot is about two orders larger than

△Nit, indicating that trapping in the HfO2 bulk can be a very critical issue. However, Id

degradation curve in Fig. 3-33 reveals that the recombination of hole trapping is the root

cause of Fig. 3-31, as Id degradation should be worsen if the amount of total traps increases.

The recombination occurrence can be explained by the rapidly increasing electron current as the temperature rises, while the hole current remains the same, as shown in Fig. 3-34.

By changing the stress voltage to Vgo=-2.5V, a parallel shift is still obtained, as shown in Fig. 3-35 (a), and the subthreshold swing shows a slight increase after stress at 125 . It is ℃ shown in Fig. 3-37 that trapping effect in high-k bulk is still an order larger than N△ it, indicating that reaction-reaction model may not be suitable for HfO2/SiON gate stacks, due to the preponderant bulk traps, compared to interface traps. As shown in Fig. 4-36, the index of power-law increases from 0.06 at low temperature to 0.15 at 125°C. This may be due to the fact that larger stress voltage causes the valence band of the Si substrate to shift closer to the hole trap, which is located at =1.17eV, as already mentioned in Chapter two, so holes become easier to jump and get trapped.

ΦB

Fig. 3-38 and Fig. 3-39 compare the control sample and the sample with N2O plasma treatment under Vgo=-2.5V 125 . The improvement can be achieved after post℃ -N2O plasma treatment, especially at high temperature.

In conclusion, N△ it follows the reaction-diffusion model with a power-law index of 0.25, because our IL is still SiON. In addition, bulk traps always dominate the degradation of HfO2/SiON, which means that trapping effect is larger than hydrogen species effect. Fig. 3-40 shows that under dynamic stress, the recovery of N△ it is observed, and shows no dependence on the relaxation voltage. Fig. 3-41 shows that the trapping charges can be de-trapped by the relaxation voltage.

3.3 Summaries

Several kinds of reliability testing have been performed, such as dynamic stress, investigation of bulk or IL breakdown, and NBTI. It is found that post-N2O plasma treatment

may not be beneficial because of the resulting higher electrons trapping under AC stress, even though it exhibits several advantages as discussed in Chapter two. Bias temperature instability shows that leakage current could affect the threshold voltage shift behavior. Our data also confirm that two different mechanisms exist in HfO2/SiONgate stacks, i.e., trapping and the NBTI. And the effect of trapping is larger than the reaction-diffusion effect.

Chapter 4 Conclusion

4.1 Conclusion

In the thesis, we performed the post-deposition N2O plasma nitridation to enrich the HfO2 film quality. Several important phenomena were observed and summarized as follows.

First of all, Improvements in the electrical characteristics of the p+-poly gate pMOSFETs with HfO2/SiON gate stacks using post-deposition N2O plasma treatment have been demonstrated in this work. We have found that improvements include many aspects, such as reduced leakage current, better subthreshold swing, enhanced normalized transconductance, and higher driving current. These were ascribed to the lower interface states and bulk traps as confirmed by various types of charge pumping measurements.

In the second part of the thesis, we have used carrier separation method to clarify the breakdown in HfO2 or IL. The behavior is different for samples with and without N2O plasma treatments.

Finally, we have studied the dynamic stress and NBTI. △Vth is mainly caused by the trapping in the HfO2, rather than △Nit. Dynamic stressing enables us to have a more realistic and precise vision in the estimation of device reliability. And we found that the trapping effect is responsible for the transistor degradation. Under NBTI, we found that the trapping effect is larger than the reaction-diffusion model.

Reference

[ 1]Max Schulz, “The end of the road for silicon,” Nature, Vol. 399, pp. 729, 1999.

[ 2]S. –H. Lo, D. A. Buchanan, Y. Taur, W. Wang, “Quantum-Mechnical Modeling of Electron Tunneling Current from the Inversion Layer of Ultra-Thin Oxide nMOSFET’s,” IEEE Electron Device Lett., Vol. 18, pp.209, 1997.

[ 3]J. Robertson, J. Vac. Sci. Technol. B 18, pp1785, 2000.

[ 4]Robert M. Wallace, IRPS Tutorial, IRPS 2004.

[ 5]H. Iwai, “ Downsizing of silicon MOSFETs beyond 0.1μm,”Microelectron. J., vol.29, pp.

671-678, Apr. 1998.

[ 6]B. Yu, H. Wang, C. Ricobene, Q. Xiang, and M.R. Lin,” Limits of gate oxide scaling in nano-transistors,” in VLSI Tech. Dig., pp.39-40, 2000.

[ 7]A. Chin, Y. H.Wu, S. B. Chen, C. C. Lias, andW. J. Chen, “High quality La2O3 and Al 2O3

gate dielectrics with equivalent oxide thickness 5–10A,” in VLSI Tech. Dig., pp. 13–14. , 2000.

[ 8]B. Cheng, M. Cao, R. Rao, A. Inani, P. V. Voorde, W. M. Greene, J. M.C. Stork, Z. Yu, P.

Zeitzoff, and J. C. S. Woo, “The impact of high-K gate dielectrics and metal gate electrodes on sub-100 nm MOSFETs,” IEEE Trans. Electron Devices, vol. 46, pp.

1537–1544, July 1999.

[9]R. Degraeve, A. Kerber, Ph. Roussel, E. Cartier, T. Kauerauf, L. Pantisano, and

G. Groeseneken, “Effect of bulk trap density on HfO2 reliability and yield,” IEDM Tech.

Dig., pp935-938, 2003.

[10]A. Shanware, M. R. Visokay, J. J. Chambers, A. L. P. Rotondaro, J. McPherson, L. Colombo, G. A. Brown, C. H. Lee, Y. Kim, M. Gardner, and R. W. Murto,

“Characterization and comparison of the charge trapping in HfSiON and HfO2 gate dielectrics,” IEDM Tech. Dig., pp. 939-947, 2003.

[11]J. H. Lee, K. Koh, N. I. Lee, M. H. Cho, Y. K. Kim, J. S. Jeon, K. H. Cho, H. S. Shin, M.

H. Kim, K. Fujihara, H. K. Kang, and J. T. Moon, “Effect of polysilicon gate on the flatband voltage shift and mobility degradation for ALD-Al2O3 gate dielectric,” IEDM Tech. Dig., pp645-648, 2000.

[12]Sufi Zafar, Alessandro Callegari, Evgeni Gusev, and Massimo V. Fischetti, “Charge trapping in high K gate dielectric stacks,” IEDM Tech. Dig., pp. 517-520, 2002.

[13]S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, “Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks,” J. Appl. Phys. Vol. 93, pp.9298, 2003.

[14]E. Gusev, D. A. Buchanan, and E. Cartier, “Ultrathin high-K gate stacks for advanced CMOS devices,” IEDM Tech. Dig., pp451, 2001

[15]H. –J. Cho, C. Y. Kang, C. S. Kang, R. Choi, Y. H. Kim, M. S. Akbar, C. H. Choi, S. J.

Rhee, and J. C. Lee, “The effects of nitrogen in HfO/sub 2/ for improved MOSFET performance,” IEEE semiconductor Device Research Symposium. pp68, 2003.

[16]Y. Morisaki, T. Aoyama, Y. Sugita, K. Irino, T. Sugii, and T. Nakamura, “Ultra-thin (Teffinv

= 1.7nm) poly-Si-gated SiN/HfO2/SiON high-k stack dielectrics with high thermal stability (1050°C),” IEDM Tech. Dig., pp861, 2002.

[17]M. Koyama, K. Suguro, M. Yoshiki, Y. Kamimuta, M. Koike, M. Ohse, C. Hongo, and A.

Nishiyama, ”Thermally stable ultra-thin nitrogen incorporated ZrO2 gate dielectric prepared by low temperature oxidation of ZrN,” IEDM Tech. Dig., pp459, 2001.

[18]R. Choi, C. S. Kang, B. H. Lee, K. Onishi, R. Nieh, S. Gopalan, E. Dharmarajan, and J. C.

Lee, “High-Quality Ultra-thin HfO2 Gate Dielectric MOSFETs with TaN Electrode and Nitridation Surface Preparation,” VLSI Tech. Symposium, pp15, 2001.

[19]M. Krishnan and V. Kol’dyaev, “Modeling kinetics of gate oxide reliability using stretched exponents,” IRPS, pp421, 2002.

[20]W. J. Zhu, T. P. Ma, S. Zafar, and T. Tamagawa, “Charge trapping in ultrathin hafnium

oxide,” Electron Device Lett., Vol. 23, pp.597, 2002

[21]E. P. Gusev and C. P. D’Emic, ” Charge detrapping in HfO2 high-k gate dielectric stacks,” Appl. Phys. Lett., Vol. 83, pp5223, 2003.

[22]A. Kerber, E. Carter, L. Pantisano, M. Rosmeulen, R. Degraeve, T. Kauerauf, G.

Groeseneken, H. E. Maes, and U. Schwalke, “Characterization of the Vt-instability in SiO2/HfO2 gate dielectrics,” IRPS, pp.41, 2003.

[23]G. Groeseneken, H. E. Maes, N. Beltran, and R. F. Dekeersmaecker, “ A reliable approach to charge-pumping measurements in MOS transistor,” IEEE Transactions on Electron Devices, Vol. ED-31, pp42-53, 1984.

[24]S. S. Chung, S.-J. Chen, C.-K. Yang, S.-M. Cheng, S.-H. Lin, Y.-C. Sheng, H.-S. Lin, K.-T. Hung, D.-Y. Wu, T.-R. Yew, S.-C. Chien, F.-T. Liou, and F, Wen, “A Novel and Direct Determination of the Interface Traps in Sub-100nm CMOS Devices with Direct Tunneling Regime (12-16A) Gate oxide,” VLSI Tech. Symposium, 2002.

[25]Pascal Masson, Jean-Luc Autran, and Jean Brini, “On the Tunneling Component of Charge Pumping Current in Ultrathin Gate Oxide MOSFET’s,” Electron Device Lett., pp.

92-94, 1999

[26]A. Kerber, E. Carter, L. Pantisano, M. Rosmeulen, R. Degraeve, G. Groeseneken, H. E.

Maes, and U. Schwalke, “charge trapping in SiO2/HfO2 gate dielectrics: comparison between charge-pumping and pulsed Id-Vg,” Microelectronic Engineering, Vol. 72, pp267, 2004.

[27]John F. Conley, IRPS Tutorial, IRPS proceedings, 2004.

[28]R. J. Carter, E. Cartier, A. Kerber, L. Pantisano, T. Schram, S. De Gendt, and M. Heyns,

“Passivation and interface state density of SiO2/HfO2-based/polycrystalline-Si gate stacks,” APL, Vol. 83, pp533-535.

[29]A. kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, A. Hou, G.

Groeseneken, H. E. Maes, and U. Schwalke, “Origin of the Threshold Voltage Instability

in SiO2/HfO2 Dual Layer Gate Dielectrics,” IEEE Electron Device Lett., pp.87-89, 2003.

[30]G. Van den bosch, G. Groeseneken, and H. E. Maes, “On the geometric component of chage-pumping current in MOSFET’s,” IEEE Electron Device Lett., Vol. 14 pp.107, 1993 [31]S. Tsujikawa, T. Mine, Y. Shimamoto, O. Tonomura, R. Tsuchiya, K. Ohnishi, H.

Hamamura, K. Torii, T. Onai, and Jiro Yugami, “An Ultra-thin Silicon Nitride Gate Dielectric with Oxygen-enriched Interface (OI-SiN) for CMOS with EOT of 0.9 nm and Beyond,” VLSI Tech. Symposium, 2002.

[32]Kauerauf, T., Degraeve, R.; Cartier, E.; Govoreanu, B.; Blomme, P.; Kaczer, B.; Pantisano, L.; Kerber, A.; Groeseneken, G.; “Towards understanding degradation and breakdown of SiO/sub 2//high-k stacks,” IEDM, 2002.

[33]JS Suehle, P Chaparala, “Low electric field breakdown of thin SiO 2 films under static and dynamic stress,” IEEE Transactions on Electron Devices.

[34]K. Onishi, R. Choi, C. S. Kang, H. J. Cho, “Bias-Temperature Instabilities of Polysilicon Gate HfO2 MOSFETs,” IEEE Transactions on Electron Devices, pp1517, 2003.

[35]Massey, J.G.. short course in 2004 reliability workshop.

Figure-Chapter 1

Fig. 1-1 With the marching of technology nodes, gate dielectric has to be shrunk and five silicon atoms thick of gate dielectric is predicted for 2012.[1]

Fig. 1-2 Measured and simulated Ig-Vg characteristics under inversion condition for nMOSFETs. The dotted line indicates the 1A/cm2 limit for the leakage current. [2]

Fig. 1-3 Several high-k gate dielectric materials with their bandgaps and dielectric constants.[3]

Fig. 1-4 Several high-k gate dielectric materials with their band offsets.[4]

Figure-Chapter 2

• Standard LOCOS

• RCA clean and HF-last dip

• RTA 700℃ in N

2

O ambient ~ 0.7nm SiON

• MOCVD of 3nm HfO

2

• w/ and w/o N

2

O nitridation

相關文件