• 沒有找到結果。

Re‡ectance Optimization for the Interface-Engineered Multilayer

4.4 Capping Layer to Avoid the Oxidation

4.4.3 Re‡ectance Optimization for the Interface-Engineered Multilayer

The re‡ectance of EUV multilayer structures can be degraded signi…cantly by hydrocar-bon contamination or by the growth of an oxide layer on the top surface due to environ-mental contaminants. Contamination by heavier hydrocarbons is the most problematic, as light hydrocarbons can be removed by heating the optics up to about 30 degree. The oxidation depends on the interaction between EUV photons and the multilayer material.

EUV photons cause primary electron emission by the photo-electric e¤ect and the pri-mary electrons in turn generate secondary electrons by interaction with the atoms of the multilayer materials. The mean free path of secondary electrons in the materials is only a few nanometers, so only those electrons generated in the top few layers [39] can reach the vacuum. To overcome the problem of surface contamination and oxidation, the use of a protective capping layer has been investigated [54]. It is crucial to …nd a capping-layer material that is oxidation-resistant, forms a sharp and stable interface with the material underneath, and has good optical properties in the EUV region.

The typical top layer is Si, and this oxidizes very easily, especially during EUV light exposure. However, this additional oxide will reduce the mask blank re‡ectance due to a higher absorption of EUV light. We simulated the performances of the multilayer structure capped with Ru, Rh, Pt, and native Si oxide as well. In Figure 4-16, the results of simulations show a higher re‡ectance in the case of multilayers containing Ru or Rh capping layer, the opposite is for multilayer containing SiO2 or Pt capping layer (Unfortunately, it is generally recognized that an SiO2 capping layer is not a suitable solution for a photolithographic apparatus due to poor resistence to oxidation). Even though a ultra-thin Ru or Rh capping layer can slightly increases re‡ectance, while a thicker …lm can result in a fast drop of re‡ectance. There is reasonable for Ru has been chosen as a capping layer since it is known to be oxidation-resistant. From the results, Ru layer of 1.9-nm thickness can be suggested as a capping layer with interface-engineered Mo/Si multilayer structure; however, the su¢ cient thickness to be oxidation-resistant is around 2-3 nm in the lithography process. Hence, we chose the thin Ru layer of 2-nm thickness as a capping layer with interface-engineered Mo/Si multilayer structure.

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 35

40 45 50 55 60 65 70 75

Reflectance (%)

Thickness of Capping Layer (nm) Ru capped Ru/Mo/B4C/Si ML

SiO2 capped Ru/Mo/B4C/Si ML Pt capped Ru/Mo/B4C/Si ML Rh capped Ru/Mo/B4C/Si ML

Figure 4-16: The re‡ectance versus the capping thickness with di¤erent kinds of materials on Ru/Mo/B4C/Si ML structure.

A interface-engineered Mo/Si multilayer structure with 54 pairs, a period thickness of 7.0 nm, and a 2.0-nm-thick Ru capping layer. Considering the exposure light is on 13.5-nm wavelength, the re‡ectance is 73.86% with Ru/Mo/B4C/Si multilayer structure, and a FWHM of 0.845 nm. Figure 4-17 shows the re‡ectance versus the thickness of the capping layer and the results shows that there is an optimized thickness. And the detailed parameters of di¤erent interface-engineered multilayer structures with a Ru capping layer are listed in Table 4.18 and the spectral responses are shown in Figure 4-18. Although the highest re‡ectance seems to be 1.9-nm-thick Ru capping layer, we still chose a 2.0-nm Ru capping thickness for considering practical process. Finally, we have a multilayer structrure with dRu = 1:4 nm, dB4C = 0:2 nm, dMo = 1:9 nm and dSi = 3:5 nm with 2.0-nm Ru as a capping layer to achieve a better re‡ectance 73.86% at 13.5-2.0-nm wavelength, a peak re‡ectance 74.42% at 13.57 nm, and a FWHM of 0.845 nm.

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0

Figure 4-17: The re‡ectance versus the capping thickness with the Ru/Mo/B4C/Si ML structure and Ru as the capping material.

12.0 12.5 13.0 13.5 14.0 14.5 15.0

Figure 4-18: The spectral re‡ectance comparison for Mo/Si ML structure, Ru/Mo/B4C/Si ML structure, and Ru/Mo/B4C/Si ML structure with a 2.0-nm Ru as a capping layer.

Table 4.18: The detailed parameters of Mo/Si ML structure, Ru/Mo/B4C/Si ML struc-ture, and Ru/Mo/B4C/Si ML structure with a 2.0-nm Ru as a capping layer.

dcap (nm) dBL (nm) dM o (nm) dSi (nm) R = 13.5 nm (%)

Mo/Si ML 0 0 3.4 3.6 71.65

Ru/Mo/B4C/Si ML 0 dR u = 1:4 dB4C= 0:2 1.9 3.5 72.62

Ru capped Ru/Mo/B4C/Si ML 2.0 dR u = 1:4 dB4C= 0:2 1.9 3.5 73.86

Chapter 5 Conclusion

In our investigations, we have designed and simulated the characteristics of multilayer, interface-engineered multilayer structure, and with a capping layer applied for re‡ectance improvement of multilayer mirrors and masks for extreme-ultraviolet lithography.

The success of extreme-ultraviolet lithography is mostly dependent on the perfor-mance and stability of multilayer coatings. These multilayers need to maintain a high re‡ectance in a realistic lithography tool environment. The optics used to re‡ect and focus 13:5-nm wavelength ( 92eV) EUV radiation are Mo/Si multilayer mirrors which function in 10 5 Pa vacuum of EUVL exposure tools. We have developed a multilayer structure using interface-engineering in which the Mo and Si layers are separated with low-atomic-weight interlayers (boron carbide) at Si-on-Mo interface and high absorption material (ruthenium) at Mo-on-Si interface, respectively. In addition we have also investi-gated di¤erent capping layers to avoid re‡ectance degrading in the presence of EUV light and water vapor. In this study we addressed the re‡ectance loss due to interdi¤usion and oxidation; the best design was observed on Ru-capped multilayers with a Ru/Mo/B4C/Si ML structure between the Ru capping layer and the Si substrate. Longer exposures in di¤erent environments are necessary to test lifetime stability over many years. Coating the multilayers with thin (2 nm) capping layer …lms can reduce build-up of contamination (mostly oxides, carbon …lms).

In the design, we …rst made the total thickness of multilayer to satisfy the quarter-wave stack condition and then adjusted the thickness of Mo and Si layer. For the original quarter-wave stack the re‡ectance at 13:5-nm wavelength is 69:89%, the peak re‡ectance

…ne-tuned multilayer stack for a 40 pair Mo/Si system (dMo = 3:4nm and dSi = 3:6nm) yeilds a maximum re‡ectance around 71:25 % at 13:5-nm wavelength, a peak re‡ectance of 72:34% at 13.60 nm, and a FWHM of 0:758 nm. Based on the structure, we also investigated the in‡uence of the pair number, then we could …nd the maximum re‡ectance with 54 pair …ne-tuned Mo/Si multilayer structure (called Mo/Si ML structure) and still keeping the same re‡ectance even with more pairs. For the 54 pair structure, Mo/Si ML structure’s re‡ectance at 13:5-nm wavelength is 71:65% and the peak re‡ectance is up to 72:91% at 13.61 nm with a FWHM of 0:710 nm. Considering the practical process and using ruthenium and boron carbide as barrier layers at every interface with dRu = 1:4 nm, dB4C = 0:2 nm, dMo = 1:9 nm, and dSi = 3:5 nm, we could achieve a higher re‡ectance 72:62% at 13:5-nm wavelength and peak re‡ectance 73:30% at 13.58 nm with Ru/Mo/B4C/Si ML structure and a FWHM of 0:828 nm. Although the higher re‡ectance from simulation results seems to be 1:9-nm-thick Ru capping layer, we still chose a 2:0-nm Ru capping thickness for considering practical process. Finally, we have a multilayer structure with dRu = 1:4 nm, dB4C = 0:2 nm, dMo = 1:9 nm, and dSi = 3:5 nm with 2:0-nm Ru as a capping layer to achieve a better re‡ectance 73:86% at 13:5-nm wavelength, a peak re‡ectance 74:42% at 13.57 nm, and a FWHM of 0:845 nm. By inserting two kinds of materials as barrier layers (i.e., ruthenium and boron carbide) to the Mo/Si ML structure and Ru as the capping layer, a high-performance interface-engineered Ru/Mo/B4C/Si ML structure was designed at 13.5-nm wavelength. The re‡ectance has been improved and the thermal stability is expected to be improved in a pratical lithography system as well.

In the future, the fabrication process and the complete EUVL mask (mutilayer, ab-sorber layer, and anti-re‡ective coating layer) should be studied.

Bibliography

[1] W. W. Gibbs, “Gordon E. Moore-Part 2,” Scienti…c American, 1997.

[2] Lithography in international technology roadmap for semiconductor, 2010.

[3] B. Wu and A. Kumar, “Extreme ultraviolet lithography: A review,” J. Vac. Sci.

Technol., vol. 25, 2007.

[4] O. Wood, C-S. Koay, K. Petrillo, “Integration of EUV lithography in the fabrication of 22-nm node devices,” Proc. SPIE, vol. 7271, no. 727104, pp. 1-9, 2009.

[5] K-B. Kim, “A novel technique for projection-type electron-beam lithography,”SPIE Newsroom, 2008, http://spie.org/x31901.xml?ArticleID=x31901.

[6] D. Sweeney, “Extreme ultraviolet lithography: Imaging and Future,”EUVL Progress Report, https://www.llnl.gov/str/Sween.html.

[7] H. Kinoshita, T. Kaneko, H. Takei, N. Takeuchi, and S. Ishhara, “Study on x-ray reduction projection lithography,” presented at the 47th Autumn Meeting of the Jpn. Soc. of Appl. Phys., pp. 28-ZF-15, 1986.

[8] T. Namioka, “Current research activities in the …eld of multilayers for soft x-rays in Japan,” Revue Phys. Appl., vol. 23, pp. 1711-1726, 1988.

[9] W. T. Silfvast and O. R. Wood II, “Tenth micron lithography with a 10 Hz 37.2 nm sodium laser,” Microelectron. Eng.,vol. 8, pp. 3-11, 1988.

[10] A. M. Hawryluk and L. G. Seppala, “Soft x-ray projection lithography using an x-ray reduction camera,” J. Vac. Sci. Technol., vol. 6 (B), pp. 2162-2166, 1988.

[11] D. A. Tichenor, et al., “10x reduction imaging at 13.4nm,” OSA Proc., vol. 23, pp.

[12] H. Mizuno, G. McIntyre, C-S Koay, M. Burkhardt, B. La Fontaine, and O. Wood, Proc,“ Flare evaluation of ASML alpha demo tool,” Proc. SPIE, vol.7271, 72710U, 2009.

[13] P. J. Silverman, “Extreme ultraviolet lithography: overview and development sta-tus,” SPIE, vol. 4, pp. 011006-011010, 2005.

[14] K. Uchikawa, S. Takahashi, N. Kagtakura, T. Oshino, S. Kawata, and T. Yamaguchi,

“Pattern displacement measurements for Si stencil reticles,” J. Vac. Sci. Technol., vol. 17 (B), pp. 2868–2872 , 1999.

[15] S. D. Berger and J. M. Gibson, “New approach to projection-electron lithography with demonstrated 0.1 micron linewidth,” Appl. Phys. Lett., vol. 57, pp. 153–155, 1990.

[16] D. A. Tichenor, A. K. Ray-Chaudhuri, W. C. Replogle, R. H. Stulen, G. D. Kubiak, P. D. Rockett, L. E. Klebano¤, K. L. Je¤erson, A. H. Leung, J. B. Wronsky, L. C.

Hale, H. N. Chapman, J. S. Taylor, J. A. Folta, C. Montcalm, R. Sou‡i, E. Spiller, K. Blaedel, G. E. Sommergren, D. W. Sweeney, P. Naulleau, K. A. Goldberg, E. M.

Gullikson, J. Bokor, P. J. Batson, D. T. Attwood, K. H. Jackson, S. D. Hector, C. W.

Gwynn, and P. Yan, “System integration and performance of the EUV engineering test stand,” Proc. SPIE, vol. 4343, pp. 19–37, 2001.

[17] A. Barty, P. B. Mirkarimi, D. G. Stearns, et al., “EUVL mask blank repair,” Proc.

SPIE, vol. 4688, pp. 385–394, 2002.

[18] P-Y. Yan, G. Zhang, A. Ma, and T. Liang, “TaN EUVL mask fabrication and characterization,” Proc. SPIE, vol. 4343, pp. 409–414, 2001.

[19] P-Y. Yan, “The impact of EUVL mask bu¤er and absorber material properties on mask quality and performance,” Proc. SPIE, vol. 4688, pp. 150–160, 2002.

[20] J. R. Wasson, S-I. Han, N. V. Edwards, E. Weisbrod, W. J. Dauksher, and P. J. S.

Mangat, “Integration of Anti-re‡ection Coatings on EUV Absorber Stacks,” Proc.

SPIE, vol. 4889, pp. 382-388, 2002.

[21] S-Y. Lee, T-G. Kim, C-Y. Kim, I-Y. Kang, Y-C. Chung, and J. Ahn “Combined absorber stack for optimization of the EUVL mask,” Proc. SPIE, vol. 6151, pp.

61511Y, 2006.

[22] D. He and F. Cerrina, “Process dependence of roughness in a positive-tone chemi-cally ampli…ed resist,” J. Vac. Sci. Technol., vol. 16 (B), pp. 3748–3751, 1998.

[23] H-B. Cao, Y. Wang, B. J. Rice, et al., “Sources of line-width roughness for EUV resists,” Proc. SPIE, vol. 5376, pp. 757–764, 2004.

[24] E. Spiller, “Soft X-Ray Optics,” SPIE Optical Engineering Press, ch. 8, 1994.

[25] K. M. Skulina, C. S. Alford, R. M. Bionta, D. M. Makowiecki, E. M. Gullikson, R.

Sou‡i, J. B. Kortright, and J. H. Underwood, “Molybdenum/beryllium multilayer mirrors for normal incidence in the extreme ultraviolet,” Appl. Opt., vol. 34, pp.

3727-3730 , 1995.

[26] D. G. Stearns, R. S. Rosen, and S. P. Vernon, “Multilayer mirror technology for soft-X-ray projection lithography,” Appl. Opt., vol. 32, pp. 6952-6960, 1993.

[27] C. C. Lee, “Thin Film Optics and Coating Technology,” Yi Hsien Publishing Co., 5th Ed., 2006.

[28] H. M. Liddell and H. G. Jerrard, “Computer aided techniques for the design of multilayer …lters,” Taylor & Francis, 1st edition, 1981.

[29] A.V. Vinogradov and B. Y. Zeldovich, “X-ray and far UV multilayer mirrors: prin-ciples and possibilities,” Appl. Opt., vol. 16, 89-93, 1977.

[30] F. Schäers, “Multilayers for the EUV/soft x-ray range,” Physica B, vol. 283, pp.

119-124, 2000.

[31] E. Louis, E. Zoethout, R. W. E. van de Kruijs, et al., “Multilayer coatings for the EUVL process development tool,” Proc. SPIE, vol. 5751, pp. 1170–1177, 2005.

[32] R. Sou‡i and E. M. Gullikson, “Re‡ectance measurements on clean surfaces for the determination of optical constants of silicon in the extreme ultravioletsoft- x-ray

[33] R. Sou‡i and E. M. Gullikson, “Absolute photoabsorption measurements of molyb-denum in the range 60 to 930 eV for optical constant determination,” Appl. Opt., vol. 37, pp. 1713–1719, 1998.

[34] C. Tarrio, R. N. Watts, T. B. Lucatorto, J. M. Slaughter, and C. M. Falco, “Opti-cal constants of in situ-deposited …lms of important extreme-ultraviolet multilayer mirror materials,” Appl. Opt., vol. 37, pp. 4100–4104, 1998.

[35] U. Schlegel, “Determination of the optical constants of Ruthenium in the EUV and soft x-ray region using synchrotron radiation,” Diploma thesis, Technische Fach-hochschule Berlin, 2000.

[36] B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and re‡ection at E = 50 30; 000 eV, Z = 1 92,” At.

Data Nucl. Data Tables, vol. 54, pp. 181–342, 1993. The updated version of these data is available at http://henke.lbl.gov/optical_constants/asf

[37] X-Ray and Gamma-Ray Data, http://physics.nist.gov/PhysRefData/contentsxray.html.

[38] Elastic Photon-Atom Scattering, http://physci.llnl.gov/Research/scattering/.

[39] M. Malinowski, C. Steinhaus, M. Clift, L.E. Klebano¤, S. Mrowka, R. Sou‡i, “Con-trolling contamination in Mo/Si multilayer mirrors by Si surface-capping modi…ca-tions,” Proc. SPIE, vol. 4688, pp. 442–453, 2002.

[40] M. Malinowski, “EUV optics contamination control gas blend carbon mitigation data and …nal report,” International SEMATECH, Project LITH 113, Agreement 399509-OJ.

[41] S. Graham, C. Steinhaus, M. Clift, and L. Klebano¤, “Radio-frequency discharge cleaning of silicon-capped Mo/Si multilayer extreme ultraviolet optics,”J. Vac. Sci.

Technol., vol. 20 (B), pp. 2393–2400, 2002.

[42] S. Bajt, J. B. Alameda, T. W. Barbee Jr., W. M. Clift, J. A. Folta, B. Kaufmann, E. A. Spiller, “Improved re‡ectance and stability of Mo/Si multilayers,”Opt. Eng., vol. 41, pp. 1797–1804, 2002.

[43] S. Bajt, Z. R. Dai, E. J. Nelson, M. A. Wall, J. B. Alameda, N. Q. Nguyen, S.

L. Baker, J. C. Robinson, J. S. Taylor, A. Aquila, N. V. Edwards, “Oxidation resistance and microstructure of ruthenium-capped extreme ultraviolet lithography multilayers,”J. Microlith., Microfab., Microsyst., vol. 5 (2), pp. 023004-1-023004-13, 2006.

[44] S. Bajt, S. Hau-Riege, J. Alameda, F. Dollar, M. Chandhok, M. Fang, “Protective capping layer for EUVL optics using TiO2,” 4th International Extreme Ultraviolet Lithography Symposium, 2005.

[45] A. K. Petford-Long, M. B. Stearns, C.-H. Chang, S. R. Nutt, D. G. Stearns, N. M.

Ceglio, and A. M. Hawryluk, “High-resolution electron microscopy study of x-ray multilayer structures,” J. Appl. Phys., vol. 61, p.p. 1422–1428, 1987.

[46] K. Holloway, K. Ba Do, and R. Sinclair, “Interfacial reactions on annealing molybdenum-silicon multilayers,” J. Appl. Phys., vol. 65, p.p. 474–480, 1989.

[47] S. Yulin, T. Feigl, T. Kuhlmann, N. Kaiser, A. I. Fedorenko, V. V. Kondratenko, O. V. Poltseva, V. A. Sevryukova, A. Y. Zolotaryov and E. N. Zubarev, “Interlayer transition zones in Mo/Si superlattices,” J. Appl. Phys., vol. 92, p.p. 1216-1220, 2002.

[48] S. Braun, H. Mai, M. Moss, R. Scholz, and A. Leson, “Mo/Si multilayers with di¤erent barrier layers for applications as extreme ultraviolet mirrors,”Jpn. J. Appl.

Phys., vol. 41, p.p. 4074-4081, 2002.

[49] I. Nedelcu, R. W. E. van de Kruijs, A. E. Yakshin, and F. Bijkerk, “Microstructure of Mo/Si multilayers with boroncarbide di¤usion barrier layers,” Appl. Opt., vol.

48, p.p. 155-160, 2009.

[50] M. G. Pelizzo, M. Suman, G. Monaco, P. Nicolosi, and D. L. Windt, “High perfor-mance EUV multilayer structures insensitive to capping layer optical parameters,”

Opt. Exp., vol. 16, p.p. 15228-15237, 2008.

[51] S. Bajta, N. V. Edwardsb, T. E. Madeyc, “Properties of ultrathin …lms appropriate for optics capping layers exposed to high energy photon irradiation,”Surf. Sci. Rep.,

[52] D. L. Windt, R. Hull, and W. K. Waskiewicz, “Interface imperfections in metal/Si multilayers,” J. Appl. Phys., vol. 71, p.p. 2675–2678, 1992.

[53] T. E. Madey, N. S. Faradzhev, B. V. Yakshinskiy, N. V. Edwards, “Surface phenom-ena related to mirror degradation in extreme ultraviolet (EUV) lithography,”Appl.

Surf. Sci., vol. 253, p.p. 1691–1708, 2006.

[54] S. B. Hill, I. Ermanoski, C. Tarrio, T. B. Lucatorto, T. E. Madey, S. Bajt, M. Fang and M. Chandhok, “Critical parameters in‡uencing the EUV-induced damage of Ru-capped multilayer mirrors,” Proc. SPIE, vol. 6517, p.p. 65170G1-G12, 2007.

Vita

Fang-Yi Liu was born in Ping-Tung, Taiwan on April 27, 1987. She received the B.S. degree in the Department of Biomechatronic Engineering from National Chiayi University (NCYU) in June 2009. She entered the graduate program for Science and Technology of Accelerator Light Source, National Chiao Tung University (NCTU) in September 2009. She majors in thin-film optics and extreme ultra-violet lithography. She received the M.S.

degree from NCTU in January 2012.