• 沒有找到結果。

Improvement of post-chemical mechanical planarization characteristics on organic low k methylsilsesquioxane as intermetal dielectric

N/A
N/A
Protected

Academic year: 2021

Share "Improvement of post-chemical mechanical planarization characteristics on organic low k methylsilsesquioxane as intermetal dielectric"

Copied!
5
0
0

加載中.... (立即查看全文)

全文

(1)

As integrated circuit dimensions continue to shrink, interconnect resistance 3 capacitance (RC) delay becomes an increasingly seri-ous problem. Fabrication of interconnect structures using copper wiring and low permittivity (low k) material to replace the tradition-al Al and SiO2interconnect technology is in high demand.1,2Among various low k materials, the organosilicates offer many properties of silica (SiO2) such as hardness and thermal and dimensional stability. Methylsilsesquioxane (MSQ) represents an important member of this family. It exhibits a relatively low k value (k5 2.6-2.8), is intrin-sically hydrophobic, and shows reasonable mechanical hardness and exceptional thermal stability (in excess of 4508C).3-5For these rea-sons, MSQ represents an excellent candidate as an intermetal dielec-tric (IMD) for the multilevel interconnect architecture. However, there still are several issues when implementing MSQ into process integration. The greatest concern for low k polymer application is the “poisoned-via” issue.6Consequently, the etchback process has been extensively performed to avoid the problem of “poisoned-via.” Sur-face planarization is a key technology during the manufacture of multilevel interconnects. The chemical mechanical planarization (CMP) process is satisfactory for the requirement of global topogra-phy planarization and etchback technology.7,8An advantage of CMP spin-on-glass (SOG) films is that it is easy to control the final IMD thickness, because by capping oxides of different thicknesses after polishing SOG one can reach the target IMD thickness.9In the de-velopment of CMP low k dielectrics,10-12Forester et al. found that the polish rate of alkyl siloxane-based SOG was lower than that of plasma-enhanced chemical vapor deposition (PECVD) oxide or thermal oxide using conventional silica-based slurry only.12The pol-ish rate of alkyl siloxane-based SOG is dependent on the organic content when using conventional oxide slurries. A higher Si-R/Si-O ratio in the SOG films induces a lower hydration reaction rate, lead-ing to a lower polish rate. Several reports13,14indicated that the use of new alkaline cerium oxide-based slurry and the introduction of additives15can greatly improve the removal rate for organic spin-on materials. However, much experimental data is needed to qualify such new consumables before adopting them into production. In addition, CMP includes kinetic mechanical abrasion and chemical etching reactions; it can cause destructive changes in the character-istics of the low k material. Therefore, the investigation of post-CMP characteristics such as electrical performance and desorption of

con-stitution water should be emphasized much more for optimum CMP of low k materials.

In this work, we have presented the characteristics of organic low k MSQ after the CMP process. The polishing of MSQ with conven-tional silica-based slurry is discussed first. Instead of developing new chemical slurries, tetramethylammonium hydroxide (TMAH) was added to commonly used silica-based slurries. In addition, its effects on the polish process were investigated comprehensively. Finally, an H2-plasma technique was implemented as a post-treat-ment for the CMP of low k MSQ.

Experimental

Unpatterned silicon wafers were coated with a single layer of MSQ film, and baked sequentially on a hot plate at 1808C for 2 min and at 2508C for 1 min. The resulting wafers were furnace cured at 4008C for 30 min. The CMP process was applied to the as-cured MSQ film. The CMP experiment was carried out on an IPEC/West-ech 372M CMP processor. The wafer was mounted on a template assembly for a single 6 in. wafer during the polishing experiment. The most commonly used slurry for SiO2 polishing is silica with potassium hydroxide (KOH) aqueous solution, called CABOT SS-25 slurry. Since low k MSQ is one group of siloxane-based SOG films, in our work, SS-25 slurry was thereby used for the MSQ pol-ishing. In parallel, work was developed to increase the CMP removal rate and uniformity of MSQ in silica-based slurry. The addition of 0.1-0.2 M TMAH aqueous solution to commercial SS-25 slurry causes an increase in the CMP removal rate. The polishing parame-ters, such as down force, back pressure, platen and carrier rotation speeds, and slurry flow rate, were set to be 3-9 psi, 2-9 psi, 50-80 rpm, 60-50-80 rpm, and 150 mL/min, respectively. The thickness and refractive index of all samples before and after CMP polishing were measured using an n&k 1200 analyzer by means of light interference effects in MSQ film.

Subsequently, the polished wafers were transferred to a PECVD chamber for H2-plasma post-treatment. The H2-plasma was operat-ed at a pressure of 300 mTorr and with a hydrogen gas flow rate of 300 standard cubic centimeters per minute. A radio frequency power of 110 W, which established the hydrogen plasma, was applied to the upper electrode, and the wafers were placed on the bottom by the grounded electrode, which can be rotated for improving uniformity, at a substrate temperature up to 3008C. The structural properties of the MSQ films were studied using Fourier transform infrared spec-troscopy (FTIRS). The surface morphologies of the polished films were investigated by atomic force microscopy (AFM). Thermal

de-Improvement of Post-Chemical Mechanical Planarization Characteristics

on Organic Low k Methylsilsesquioxane as Intermetal Dielectric

Po-Tsun Liu,

a,

* Ting-Chang Chang,

a,b,

**

,z

Ming-Chih Huang,

c

Ya-Liang Yang,

a

Yi-Shian Mor,

c

M. S. Tsai,

a,

** H. Chung,

d

J. Hou,

d

and Simon-M. Sze

a,c aNational Nano Device Laboratory, HsinChu 300, Taiwan

bDepartment of Physics, National Sun Yat-Sen University, Taiwan

cDepartment of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Taiwan dAllied Signal Incorporated, Taiwan

This work has investigated the electrical and material characteristics of post-chemical mechanical planarization (CMP) methyl-silsesquioxane (MSQ). Experimental results have shown that the dielectric properties of low k MSQ deteriorate after the CMP process. However, by applying H2-plasma post-treatment, the degraded characteristics can be restored to a similar state as that of

a pre-CMP MSQ film. Material and electrical analyses were performed to elucidate the detailed mechanisms of H2-plasma

treat-ment on post-CMP MSQ. H2-plasma treatment provides active hydrogen radicals to passivate the dangling bonds exposed in the

MSQ after the CMP process. The hydrogen-rich passivation layer is hydrophobic and effectively prevents further moisture uptake. Therefore, a degradation-free CMP process can be achieved employing H2-plasma treatment.

© 2000 The Electrochemical Society. S0013-4651(00)04-098-2. All rights reserved.

Manuscript submitted April 26, 2000; revised manuscript received July 13, 2000. This was Paper 239 presented at the Toronto, Ontario, Canada, Meeting of the Society, March 14-18, 2000.

** Electrochemical Society Student Member. ** Electrochemical Society Active Member.

(2)

sorption spectroscopy (TDS) was carried out to monitor the des-orbed elements from post-CMP MSQ films during the high temper-ature process. Electrical characterizations of post-CMP MSQ films were performed on the metal-insulator-semiconductor (MIS) capac-itor with metallic aluminum deposition as the top electrode. Leakage current-voltage and capacitance-voltage characteristics were also used to analyze the leakage current behavior and measure the dielec-tric constants of post-CMP MSQ films, respectively.

Results and Discussion

First, the CMP of organic MSQ using commercial silica-based slurry SS-25 is discussed. Figure 1 illustrates the variation of re-moved thickness vs. polish time. The CMP removal rate of MSQ with 22% organic content is about 100 Å/min. A large fluctuation in the film thickness of MSQ is also observed. This indicates that the polishing rate of organic MSQ with commonly used oxide slurries is rather low, which thereby leads to poor surface topography and nonuniform film thickness. Generally, an increase in the down force and platen speed can enhance the hydration reaction of Si–O bonds and accelerate CMP removal rate. However, in our case, the polish-ing rate of the organic MSQ film still remains low due to high chem-ical durability, even by increasing the down force and platen speed of CMP, as shown in Fig. 2. It can be regarded as a chemical-reac-tion-limited rather than a mechanical-reacchemical-reac-tion-limited process. This result is also consistent with the result of Forester et al.12that organ-ic content in low k films inhibits the hydration reaction during the CMP process.

It is well known that only if both chemical and mechanical actions work simultaneously can a successful CMP process be achieved. To increase the polishing rate, TMAH was added to SS-25 slurry to enhance the hydration reaction between abrasive and organ-ic components in the MSQ film. The resultant solution pH is in the range of 11-12, higher than that of SS-25 slurry alone (about 10.2-10.4). Figure 3 shows the removed thickness of CMP MSQ with and without TMAH additive, at the same polishing parameters. A higher CMP removal rate (about 1200 Å/min) is observed at the slurry with higher pH, which suggests that a more basic environment enhances the chemical alteration of the MSQ surface. In addition, the thick-ness fluctuation in the case of polishing MSQ using TMAH additive is slight compared to the CMP of MSQ with SS-25 slurry only. This

is consistent with AFM observation. Figures 4a and b show the sur-face roughness of MSQ after the CMP process with and without TMAH additive, respectively. The surface roughness of polishing MSQ with TMAH additive is significantly less than that of CMP MSQ with SS-25 slurry only, implying a more uniform dissolution and removal occurring on the surface of organic MSQ. This CMP mechanism is simply described as follows. TMAH is a kind of sur-factant that has both hydrophilic and hydrophobic groups in its mol-ecular components. In aqueous solution, the ammonium hydroxide ion pairs adsorb on the hydrophobic MSQ surface, which results in the pH increasing locally on the surface. The hydration reaction between MSQ and TMAH is illustrated further in Fig. 5.15The addi-tion of TMAH surfactant into SS-25 slurry is capable of initiating hydration reactions to break the siloxane bonds (i.e., Si–O–Si

net-Figure 1. The variation in the removed thickness of CMP MSQ vs. polish time.

Figure 2. The relationship of CMP MSQ removal rate and down force.

Figure 3. The removed thickness of CMP MSQ with and without TMAH additive at the same polishing parameters.

(3)

work) in MSQ and helps slurry particles bond with MSQ through TMAH. Meanwhile, the mechanical force during the CMP process provides another energy to enhance moving the slurry particles away, which is associated with the breakdown of the backbone of MSQ. With the enhanced hydration reaction and the assistance of the proceeding mechanical event, a high-speed CMP removal rate of organic MSQ can be obtained.

Furthermore, the electrical characteristics of post-CMP MSQ were investigated to evaluate the impact of the CMP process on

MSQ. Figures 6a and b show the leakage current and dielectric con-stant of MSQ after the CMP process with and without TMAH-con-taining slurry. The electrical properties of post-CMP MSQ are all degraded whether the MSQ films are polished with TMAH additive or not. The leakage current of post-CMP MSQ increased as much as one order of magnitude from that of pre-CMP MSQ. The dielectric constant of post-CMP MSQ increased from the as-cured value of 2.6 to 2.86 as well, as shown in Fig. 6b. In addition, both the leakage current and dielectric constant of post-CMP MSQ with TMAH-con-taining slurry increased slightly over that of CMP MSQ without TMAH additive. These degradations on electrical characteristics are due to damages from kinetic mechanical abrasion and slurry chemi-cals during the CMP process. The enhanced hydrolysis reaction of MSQ due to the addition of TMAH should be especially responsible for more degradation in electrical characteristics. This also offsets the partial advantage of using TMAH additive.

Figure 5. The hydration reaction between organic MSQ and TMAH solution. Figure 4. AFM micrograph of polished MSQ surface. (a) CMP process with silicate-based SS-25 slurry and TMAH additive; (b) CMP process with sili-cate-based SS-25 slurry only.

Figure 6. Dielectric properties of MSQ polished with and without additive TMAH. (a) Leakage current density of post-CMP MSQ films as a function of electric field; (b) dielectric constant of post-CMP MSQ films.

(4)

To address the issues for electrical degradations, we applied H2 -plasma post-treatment on post-CMP MSQ. Figures 7a and b show the leakage current density and dielectric constant of post-CMP MSQ treated with H2-plasma for 3-9 min. Both the leakage current and dielectric constant of post-CMP MSQ obviously decrease and approach a state similar to that of pre-CMP, with increasing H2 -plas-ma treatment time. This indicates that the electrical degradations of low k dielectrics are effectively restored using H2-plasma post-treat-ment. These significant improvements in the electrical characteris-tics of post-CMP MSQ can be interpreted by material analyses. Fig-ure 8a shows FTIR spectra of post-CMP MSQ before and after H2 -plasma treatment. An enlargement of the 2400-2100 cm21region for Fig. 8a is shown in Fig. 8b. From Fig. 8a, it is first found that the intensities of the Si–C bond peak and C–H bond peak are slightly reduced in comparison with those of pre-CMP MSQ. Meanwhile, no

other bonds generate except the reduction of function groups. The destruction of functional bonds from mechanical abrasion and slur-ry chemicals during the CMP process leaves many dangling bonds in post-CMP MSQ. These dangling bonds are unstable sites in dielectrics, which tend to react with moisture at ambience and inevitably lead to increased leakage current and dielectric constant. This is also consistent with the electrical performance, as mentioned above. In contrast, the peak of Si–H bonds appears gradually, and the intensity increases with increasing H2-plasma treatment time, as shown in Fig. 8b. The appearance of Si–H bonds is confirmed from H2-plasma post-treatment. By applying H2-plasma treatments, many active hydrogen radicals are generated and effectively passivate the dangling bonds either on the surface or in the bulk.16It is thought that a porous MSQ structure is helpful for the diffusion of hydrogen atoms with small volume. Consequently, the bulk signal of the Si–H peak is detected by FTIR. Since the formation of hydrogen-rich

pas-Figure 7. Dielectric properties of post-CMP MSQ films with H2-plasma post-treatment. (a) Leakage current density of H2-plasma-treated MSQ films as a function of electric field; (b) dielectric constant of H2-plasma-treated MSQ films as a function of H2-plasma treatment time.

Figure 8. (a) FTIR spectra of post-CMP MSQ films with H2-plasma treat-ment; (b) an enlargement of 2400-2100 cm21region.

(5)

sivation makes post-CMP MSQ surfaces hydrophobic,17,18the prob-ability of moisture absorption is effectively reduced. TDS analysis can confirm the above inference. Figure 9 shows the temperature dependence of moisture desorption from post-CMP MSQ with and without H2-plasma-treatment. The moisture content from H2-plasma treated MSQ is lower than that of MSQ without H2-plasma treat-ment. This indicates that the H2-plasma treatment effectively forms a passivation layer to prevent moisture absorption in the MSQ film. The leakage current and dielectric constant of post-CMP MSQ are thereby decreased due to the reduction of polar water molecules.

Conclusions

Alkyl content in dielectrics greatly reduces CMP removal rate, and it is difficult to achieve uniform polishing across the wafer when using commercial silica-based slurries. We have reported an efficient CMP process for organic low k MSQ as IMD material. Instead of developing new slurries, the commonly used SS-25 slurry associat-ed with TMAH surfactant can accelerate the polish rate of organic MSQ. The CMP removal rate of organic MSQ is promoted from approximately 100 to 1200 Å/min since TMAH can enhance the hydration reaction between slurry and MSQ films. However, dielec-tric degradation occurs after the CMP process due to mechanical abrasion and chemical hydrolysis reaction. We applied H2-plasma post-treatment to passivate dangling bonds in post-CMP MSQ. The formation of a Si?H-containing passivation layer prevents post-CMP MSQ from moisture absorption. Therefore, the degraded properties

of post-CMP MSQ can be significantly restored employing a hydro-gen plasma technique.

Acknowledgments

This work was performed at the National Nano Device Labora-tory and was supported by Allied Signal Taiwan Inc. and the Nation-al Science Council of the Republic of China under contract no. NSC 89-2215-E-110-011.

National Nano Device Laboratory assisted in meeting the publication costs of this article.

References 1. L. Peters, Semiconduct. Int., 64 (Sept 1998).

2. International Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA (1999).

3. W. Volksen, R. D. Miller, J. L. Hedrick, C. J. Hawker, J. F. Remenar, P. Furuta, C. Nguyen, D. Y. Yoon, and M. Toney, No. 99-IMIC-109, in Proceedings of the 16th

International VLSI Multilevel Interconnection Conference (VMIC), p. 407, Santa

Clara, CA, Sept 7-9, 1999.

4. P. A. Kohl, Q. Zhao, K. Patel, D. Schmidt, S. A. Bidstrup-Allen, R. Shick, and S. Jayaraman, in Dielectric Material Integration for Microelectronics, W. D. Brown, S. S. Ang, M. Loboda, B. Sammaki, R. Singh, and H. S. Rathore, Editors, PV 98-3, p. 169, The Electrochemical Society Proceedings Series, Pennington, NJ (1998). 5. C. T. Chua, G. Sarkar, and X. Hu, J. Electrochem. Soc., 145, 4007 (1998). 6. J. Wataerloos, H. Meynen, B. Coenegrachts, T. Gao, J. Grillaert, and L. Van den

hove, No. 97-ISMIC-222D, in Proceedings of the 3rd International Dielectrics for

ULSI Multilevel Interconnection Conference, DUMIC, p. 310, Santa Clara, CA,

Feb 10-11, 1997.

7. L. J. Chen, S. C. Tseng, S. C. Chang, and C. M. Wang, No. 97-ISMIC-107, in

Pro-ceedings of the 14th International VLSI Multilevel Interconnection Conference (VMIC), p. 125, Santa Clara, CA (1997).

8. J. M. Neirynck, R. J. Gutmann, and S. P. Murarka, J. Electrochem. Soc., 146, 1602 (1999).

9. H. G. Chiu, C. Wu, H. B. Lu, and J. T. Lin, No. 98-IMIC-300P, in Proceedings of

the Third International Chemical Mechanical Polish (CMP) for VLSI/ULSI Multi-level Interconnection Conference, CMP-MIC, p. 328, Santa Clara, CA, Feb 19-20,

1998.

10. T. Hara, T. Tomisawa, T. Kurosu, and T. K. Doy, J. Electrochem. Soc., 146, 2333 (1999).

11. C. L. Borst, R. J. Gutmann, W. N. Gill, No. 99-IMIC-109, in Proceedings of the

16th International VLSI Multilevel Interconnection Conference (VMIC), p. 207,

Santa Clara, CA, Sept 7-9, 1999.

12. L. Forester, D. K. Choi, R. Hosseini, J. Lee, B. Tredinnic, K. Holland, and T. Cale, No. 95-IMIC-104, in Proceedings of the 12th International VLSI Multilevel

Inter-connection Conference (VMIC), p. 482, Tampa, FL, June 27-29, 1995.

13. Y. Homma, T. Furusawa, K. Kusukawa, and M. Nagasawa, No. 96-ISMIC-100P, in

Proceedings of the First International Chemical Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference, CMP-MIC, p. 67, Santa Clara,

CA, Feb 22-23, 1996.

14. B. Trednnick, J. Lee, K. Holland, and T. Bibby, No. 96-ISMIC-100P, in

Proceed-ings of the First International Chemical Mechanical Polish (CMP) for VLSI/ULSI Multilevel Interconnection Conference, CMP-MIC, p. 107, Santa Clara, CA, Feb

22-23, 1996.

15. Y. L. Wang, C. Liu, S. T. Chang, M. S. Tsai, M. S. Feng, and W. T. Tseng, Thin

Solid Films, 308-309, 550 (1997).

16. P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng, F. Y. Shih, J. K. Lee, E. Tsai, and S. M. Sze, Jpn. J. Appl. Phys., 38, 6247 (1999).

17. D. Thomas, G. Smith, and L. Nguyen, No. 97-ISMIC-222D, in Proceedings of the

3rd International Dielectrics for ULSI Multilevel Interconnection Conference,

DUMIC, p. 361, Santa Clara, CA, Feb 10-11, 1997.

18. V. M. Gahay, A. Acovic, B. Agarwala, G. Endicott, D. Nguyen, M. Shapiro, and S. Yankee, No. 96-ISMIC-106, in Proceedings of the 13th International VLSI

Multi-level Interconnection Conference (VMIC), p. 116, Santa Clara, CA, Feb 10-11,

1996. Figure 9. Temperature dependence of moisture desorption from post-CMP

數據

Figure 1. The variation in the removed thickness of CMP MSQ vs. polish time.
Figure 6. Dielectric properties of MSQ polished with and without additive TMAH. (a) Leakage current density of post-CMP MSQ films as a function of electric field; (b) dielectric constant of post-CMP MSQ films.
Figure 8. (a) FTIR spectra of post-CMP MSQ films with H 2 -plasma treat- treat-ment; (b) an enlargement of 2400-2100 cm 21 region.

參考文獻

相關文件

◦ 金屬介電層 (inter-metal dielectric, IMD) 是介於兩 個金屬層中間,就像兩個導電的金屬或是兩條鄰 近的金屬線之間的絕緣薄膜,並以階梯覆蓋 (step

However, it is worthwhile to point out that they can not inherit all relations between matrix convex and matrix monotone functions, since the class of contin- uous

(Another example of close harmony is the four-bar unaccompanied vocal introduction to “Paperback Writer”, a somewhat later Beatles song.) Overall, Lennon’s and McCartney’s

Microphone and 600 ohm line conduits shall be mechanically and electrically connected to receptacle boxes and electrically grounded to the audio system ground point.. Lines in

Therefore, this paper bases on the sangha of Kai Yuan Monastery to have a look at the exchange of Buddhist sangha between Taiwan and Fukien since 19th century as well as the

However, since the original vegetation is barely attainable through traces of secondary forestation because low elevation natural environment has been seriously damaged,

Removal of natural organic matter from potential drinking water sources by combined coagulation and adsorption using carbon nanomaterials. A study of ultrafiltration membrane fouling

(2009) Relating freshwater organic matter fluorescence to organic carbon removal efficiency in drinking water treatment. (1993) Filter mechanisms in