• 沒有找到結果。

In this thesis, we found the sensitivity transcended the theoretical limit value (60mV/pH) at room temperature when using Al2O3 as the antenna pad material.

Nevertheless, such phenomenon was not seen as SiO2 was used as the sensing material.

Certainly it needs more efforts to clarify the associated mechanisms. Examination of additional types of sensing materials is also important.

33

The environmental noise is always an annoying factor in experiments. In order to improve the quality of experiments, the construction of an advanced testing environment and equipment with high precision and automatic measurement procedure is essential.

34

References

[1] J. Hu, T. W. Odom, and C. M. Lieber, “Chemistry and physics in one dimension: synthesis and properties of nanowires and nanotubes,” Acc. Chem.

Res., pp. 435-455, 1999.

[2] H. C. Lin and S. M. Sze, “Nanoelectronic technology: in search of the ultimate device structure,” Future trends in microelectronics: the nano millennium, S.

Luryi, J. M. Xu, and A. Zaslavsky, eds., pp. 4-14 (New York: Wiley 2004).

[3] L. Chang, Y. K. Choi, D. Ha, P. Ranade, S. Xiong, J. Bokor, C. Hu, and T. J. King,

“Extremely scaled silicon nano-CMOS devices,” Proceedings of the IEEE, vol. 91,

no. 11, pp. 1860-1873, Nov. 2003.

[4] B. Doyle, S. Hareland, B. Jin, J. Kavalieros, T. Linton, R. Rios, and R. Chau,

“Tri-gate fully-depleted CMOS transistors: fabrication, design and layout,”

VLSI Symp. Tech. Dig., pp. 10-12, June 2003.

[5] X. Duan, C. Niu, V. Sahi, J. Chen, J. W. Parce, S. Empedocles, and J. L. Goldman,

“High-performance thin-film transistors using semiconductor nanowires and nanoribbons,” Nature, vol. 425, pp. 274-278, 2003.

[6] X. Duan, Y. Huang, and C. M. Lieber, “Nonvolatile memory and programmable logic from molecule-gated nanowires,” Nano Lett., vol. 2,

35

no. 5, pp. 487-490, 2002.

[7] M. Specht, R. Kommling, L. Dreeskornfeld, W. Weher, F. Hofmann, D. Alvarez, J. Kretz, R. J. Luyken, W. Rosner, H. Reisinger, E. Landgraf, T. Schulz, J.

Hartwich, M. Stadele,V. Klandievski, E. Hartmann, and L. Risch, “Sub-40nm

tri-gate charge trapping nonvolatile memory cells for high -density applications,” VLSI Symp. Tech. Dig., pp. 244-245, 2004.

[8] M. C. McAlpine, R. S. Friedman, S. Jin, K. H. Lin, W. U. Wang and C. M.

Lieber, “High-performance nanowire electronics and photonics on glass and

plastic substrates,” Nano Lett., vol. 3, pp. 1531-1535, 2003.

[9] Y. Cui, Q. Wei, H. Park, and C. M. Lieber, “Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species,” Science,

vol. 293, pp. 1289-1292, 2001.

[10] Z. Li, Y. Chen, X. Li, T. I. Kamins, K. Nauka, and R. S. Williams,

“Sequence-specific label-free DNA sensors based on silicon nanowires,” Nano

Latt., vol. 4, pp. 245-247, 2004.

[11] A. M. Morales and C. M. Lieber, “A laser ablation method for the synthesis of crystalline semiconductor nanowires,” Science, vol. 279, no. 5348, pp. 208-211,

1998.

[12] D. Wang, Q. Wang, A. Javey, R. Tu, H. Dai, H. Kim, P. C. McIntyre, T.

36

Krishnamohan, and K. C. Saraswat, “Germanium nanowire field-effect transistors with SiO2 and high-κ HfO2 gate dielectrics,” Appl. Phys. Lett., vol. 83, no. 12, pp. 2432-2434, 2003.

[13] H. F. Yan, Y. J. Xing, Q. L. Hang, D. P. Yu, Y. P. Wang, J. Xu, Z. H. Xi, and S.

Q. Feng, “Growth of amorphous silicon nanowires via a solid–liquid–solid mechanism,” Chemical Physics Lett., vol. 323, no. 3-4, pp. 224-228,

June 2000.

[14] N. Wang, Y. F. Zhang, Y. H. Tang, C. S. Lee, and S. T. Lee, “SiO2-enhanced synthesis of Si nanowires by laser ablation,” Appl. Phys. Lett., vol. 73, no.

26, pp. 3902-3904, 1998.

[15] Y. Huang, X. Duan, Q. Wei, and C. M. Lieber, “Directed assembly of one-dimensional nanostructures into functional networks,” Science, vol. 291, pp.

630-633, 2001.

[16] X. Duan, Y. Huang, Y. Cui, J. Wang, and C. M. Lieber, “Indium phosphide

nanowires as building blocks for nanoscale electronic and optoelectronic devices,” Nature, vol. 409, pp. 66-69, 2001.

[17] A. Tao, F. Kim, C. Hess, J. Goldberger, R. He, Y. Sun, Y. Xia, and P. Yang,

“Langmuir-Blodgett silver nanowire monolayers for molecular sensing using

surface-enhanced Raman spectroscopy,” Nano Lett., vol. 3, pp. 1229-1233,

37

2003.

[18] H. C. Lin, M. F. Wang, F. J. Hou, H. N. Lin, C. Y. Lu, J. T. Liu, and T. Y.

Huang, “High-performance p-channel schottky-barrier SOI FinFET featuring

self-aligned PtSi source/drain and electrical junctions,” IEEE Electron Device Lett., vol. 24, no. 2, pp. 102-104, 2003.

[19] F. L. Yang, D. H. Lee, H. Y. Chen, C. Y. Chang, S. D. Liu, and C. C. Huang et al, “5 nm-gate nanowire FinFET,” VLSI Symp. Tech. Dig., pp. 196-197, June

2004.

[20] M. D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasserman, S. A. Lyon, and S. Y.

Chou, “Fabrication of 5 nm line-width and 14nm pitch features by nanoimprint lithography,” Appl. Phys. Lett., vol. 84, pp. 2599-2601, 2004.

[21] J. Gu, C. P. Jen, Q. Wei, C. Chou, and F. Zenhausern, “Mask fabrication towards sub-10 nm imprint lithography,” Proc. SPIE, vol. 5751, pp. 382-391, 2005.

[22] M. D. Austin and S. Y. Chou, “Fabrication of 70 nm channel length polymer organic thin-film transistors using nanoimprint lithography,” Appl. Phys.

Lett., vol. 81, no. 23, pp. 4431-4433, 2002.

[23] Y. K. Choi, Ji. Zhu, J. Grunes, J. Bokor, and G. A. Somorjai, “Fabrication of sub-10-nm silicon nanowire arrays by size reduction lithography,” J. Phys. Chem

38

. B, vol. 107, no. 15, pp. 3340-3343, 2003.

[24] H. L. Chen, C. H. Chen, and F. H. Ko, “Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithography,” J. Vac. Sci. Technol.

B, vol. 20, no. 6, pp. 2973-2978, 2002.

[25] F. H. Ko, H. C. You, T. C. Chu, T. F. Lei, C. C. Hsu, and H. L. Chen,

“Fabrication of sub-60-nm contact holes in silicon dioxide layers,”

Microelectronic Engineering, vol. 73-74, pp. 323-329, 2004.

[26] I. Lundstrom, S. Shivaraman, C. Svenson, and L. Lundkvist, ”A hydrogen–sensitive MOS field–effect transistor,” Appl Phys Lett., vol. 26, no. 2, pp. 55-57, 1975.

[27] L. S. Jung, C. T. Campbell, T. M. Chinowsky, M. N. Mar, and S. S. Yee,

“Quantitative interpretation of the response of surface plasmon resonance sensors to adsorbed films,” Langmuir, vol. 14, no. 19, pp. 5636-5648,

1998.

[28] Z. Davis, G. Abadal, O. Kuhn, O. Hansen, F. Grey, and A. Boisen, "Fabrication and characterization of nano-resonating devices for mass detection, " J. Vac. Sci.

Technol. B, vol. 18, no. 2, pp. 612-616, 2000.

[29] Y. L. Chin, J. C. Chou, T. P. Sun, W. Y. Chung, and S. K. Hsiung, “A novel pH sensitive ISFET with on chip temperature sensing using CMOS standard

39

process,” Sensors and Actuators B, vol. 76, no. 1-3, pp. 582-593, June 2001 .

[30] P. Bergveld, “Development of an ion sensitive solid -state device for neurophysiological measurements,” IEEE Trans. Biomed. Eng., vol. 17,

pp. 70-71, 1970.

[31] J. C. Chou, “Study on the temperature effects of Al2O3 gate pH-ISFET,”

Material Chemistry and Physics, vol. 81, no. 2-3, pp. 152-157, January

2002.

[32] Y. Ito, “Long-term drift mechanism of Ta2O5 gate pH-ISFETs,” Sensors and Actuators B, vol. 64, no. 1-3, pp. 152-155, June 2000.

[33] G. T. Yu, “Hydrogen ion diffusion coefficient of silicon nitride thin films,”

Applied Surface Science, vol. 202, no. 1-2, pp. 68-72, December 2002.

[34] J. C. Chou, “Ion sensitive field effect transistor with amorphous tungsten trioxide gate for pH sensing,” Sensors and Actuators B, vol. 62, no. 2, pp.

81-87, February 2000.

[35] H. K. Liao, “Study of amorphous tin oxide thin films for ISFET applications,” Sensors and Actuators B, vol. 50, no. 2, pp. 104-109, July

1998.

[36] A. Merlos, E. Cabruja, and J. Esteve, “New technology for easy and fully IC-compatible fabrication of backside-contacted ISFETs,” Sensors and Actuators

40

B, vol. 24, no. 1-3, pp. 228-231, March 1995.

[37] E. Lauwers, “A CMOS multiparameter biochemical microsensor with temperature control and signal interfacing,” IEEE Journal of solid state circuit

vol. 36, no. 12, pp. 2030-2038, December 2001.

[38] H. C. Lin, M. H. Lee, C. J. Su, T. Y. Huang, C. C. Lee, and Y. S. Yang, “A simple and low-cost method to fabricate TFTs with poly-Si nanowire channel,” IEEE Electron Device Letters, vol. 26, no. 9, pp. 643-645, September 2005.

[39] K. Nishinohara, N. Shigyo, and T. Wada, “Effects of microscopic fluctuations in dopant distributions on MOSFET threshold voltage,” IEEE Transactionss on Electron Devices, vol. 39, no. 3, pp. 634-369, 1992.

[40] K. Takeuchi, T. Tatsumi, and A. Furukawa, “Channel engineering

for t he reduct i on of random -dopant -pl acem ent -i nduced t hres hol d voltage fluctuation,” Integrated Event Delivery Management (IEDM),

pp.841-844, 1997.

[41] N. Sano, K. Matsuzawa, A. Hiroki, and N. Nakayama, “Probability distribution of t h r e s h ol d v ol t a g e fl u ct u a t i o ns i n m et al ox i d e s em i c on du ct or f i e l d - e f f e c t - t r a n s i s t o r s , ” J a p a n e s e J o u r n a l o f A p p l i e d P h y s i c s , vol. 41, no. 6, pp. 552-554, 2002.

41

[42] D. E. Yates, S. Levine, and T. W. Healy, “Site-binding model of the electrical double layer at the oxide/wafer interface,” J. Chem. Soc., Faraday Trans. I,

pp. 1807-1818, 1974.

[43] P. Bergveld, “Thirty years of ISFETOLOGY: what happened in the past 30 years and what may happen in the next 30 years,” Sensors and Actuators B,

vol. 88, no. 1, pp. 1-20, January 2003.

[44] J. C. Chou and C. Y. Weng, “Sensitivity and hysteresis effect in Al2O3 gate pH-ISFET,” Material Chemistry and Physics, vol. 71, no. 2, pp. 120-124, August 2001.

[45] L. Bousse, H. H. Vlekkert, and N. F. Rooij, "Hysteresis in Al2O3-gate ISFETs," Sensors and Actuators B, vol. 2, no. 2, pp. 103-110, May 1990.

42

Tables

Table 2-1 summarize of the electrical characteristics for the devices.

Table 3-1 Advantages of PDMS for microfluidic system.

43

Figures

Fig. 1-1. Schematic representation of a general ISFET device.

Fig. 1-2. Schematic diagram of a nanowire channel device.

44

(a) (b)

Fig. 2-1. (a) The layout and (b) cross-sectional view of NWTFT.

(a) (b)

Fig. 2-2. (a)Deposition of dummy gate and (b) definition of dummy gate.

(c) (d)

Fig. 2-2. (c)Deposition of α-Si and (d) SPC.

45

(e) (f)

Fig. 2-2. (e)Source/Drain ion implantationand (f) definition of Source/Drain.

(g) (h)

Fig. 2-2. (g)Removing dummy gate and (h) Deposition of gate oxide.

(i) (j)

Fig. 2-2. (i)Deposition of gate poly and (j) gate ion implantation.

46

(k)

Fig. 2-2. (k)Annealing.

Fig. 2-3. SEM of the sidewall spacer nanowire.

Fig. 2-4. Dimension of the nanowire.

47

(a) (b)

Fig. 2-5. (a)Deposition of in-situ-doped n+ poly-Si and (b) definition of Source/Drain.

(c) (d)

Fig. 2-5. (c)Deposition of α-Si and (d) SPC.

(e) (f)

Fig. 2-5. (e)Definition of the channel and (f) deposition of the gate oxide.

48

(g) (h)

Fig. 2-5. (g)Deposition of gate poly and (h) gate ion implantation.

(i)

Fig. 2-5. (i) Definition of the gate and annealing.

49

(a) (b)

Fig. 2-6. (a)Deposition of α-Si and (b) SPC.

(c) (d)

Fig. 2-6. (c)Definition of Source/Drain and (d) deposition of gate oxide.

(e) (f)

Fig. 2-6. (e)Deposition of gate poly and (f) gate ion implantation.

50

(g) (h)

Fig. 2-6. (g)Definition of the gate and (h) Source/Drain ion implantation.

(i)

Fig. 2-6. (i)Annealing.

51

(a)

(b)

Fig. 2-7. (a) Diagram of ΔQDEP within depletion region.(b) Electrical field change in depletion region induced by ΔQDEP.

52

Fig. 2-8. Transfer characteristic of thick planar devices with (a) Al and (b) Si as pad materials.

53

Fig. 2-8. Transfer characteristic of thin planar devices with (c) Al and (d) Si as pad materials.

54

Fig. 2-8. Transfer characteristic of NW with (e) Al and (f) Si as pad materials.

55

Fig. 2-9. Comparing with the transfer characteristic of the three types of structures with (a) Al and (b) Si as pad materials.

56

Structure Types

Planar Thick Planar Thin Nanowire Mobility (cm2 / V-s)

Planar Thick Planar Thin Nanowire Mobility (cm2 / V-s)

Fig. 2-10. Mobility of the three types of structures with (a) Al and (b) Si as pad materials.

57

(a)

(b)

Fig. 2-11. Schematic representation of grain size in (a) thin and (b) thick channel after SPC.

58

Structure Types

Planar Thick Planar Thin Nanowire Mobility (cm2 / V-s)

Planar Thick Planar Thin Nanowire Mobility (cm2 / V-s)

Fig. 2-12. Mobility variation of the three types of structures with (a) Al and (b) Si as pad materials. Error bars represent standard deviations.

59

G curves of fifteen NW devices for (a) thick planar, (b) thin planar, and (c) NW structures with Al as pad material.

60

G curves of fifteen NW devices for (d) thick planar, (e) thin planar, and (f) NW structures with Si as pad material.

61

Fig. 2-14. Mean values of V

t for (a) thick planar, (b) thin planar, and (c) NW structures with Si as pad material. Error bars represent standard deviations.

62

Fig. 2-15. Mean values of V

t for (a) thick planar, (b) thin planar, and (c) NW structures with Al as pad material. Error bars represent standard deviations.

63

1/(WL)

1/2

(m

-1

)

0.0 0.5 1.0 1.5 2.0 2.5 3.0

相關文件