• 沒有找到結果。

In this thesis, for the first time, we have developed a new DC-IV measurement, called βDC-IV measurement. The main idea of this measurement is to boost the DC-IV current level to exceed the gate-leakage current, and then we use shift and subtract method to extract the pure DC-IV current. This approach has been demonstrated for the devices with the thickness of gate-oxide down to 13A0.

Based onβDC-IV measurement, a new interface-traps lateral profiling technique has been built for the first time. The main concept of this technique is to find where the recombination and generation processes happen in the maximal probability, which can be described by the positions in which the intrinsic energy level is equal to the average of the sum of the p and n quasi Fermi levels.

In this thesis, each kind of schemes to design highly reliable CMOS devices by introducing various strain technologies has been proposed. Based on the results given by the experiments of HC stress and FN stress in application of IFCP and interface-traps lateral profiling technique, two main conclusions on the HC for strained nMOSFETs and NBTI for strained pMOSFETs have been provided: (1) For strained nMOSFETs, CSEL capping layer devices are much better in terms of reliability and performance than others devices. SSOI devices have good hot-carrier immunity and performance, but its channel interface quality has to be improved. The performance for SiC devices is good, but its defects of interface and junction are its disadvantage. SiGe on substrate devices own appreciated performance, but the Ge-out

diffusion effect is so serious that this kind of devices will be un-reliable. (2) For strained pMOSFETs, SiGe on S/D devices will be much better in terms of performance, HC, and NBTI reliability, but SiGe on channel devices have worse NBTI property and are complex to be made, which makes this kind of devices un-valuable. All of the descriptions above are summarized in Table 6-2. As a result, keeping with the progress of strain technology, it is necessary to make a trade-off and find the best strategy to improve the performance and keep reliable in the same time, which is a challenging task. All these results in this thesis will be valuable to design manufacturable CMOS devices in terms of performance and reliability down to 45 nm technology node and beyond.

Table 6-1 The summary of strained CMOS devices in terms of performance and reliability in this thesis.

Ge-out

References

[1] “Process Integration, Devices, and Structures,” in ITRS, p. 15, 2007

[2] S. Pidin, T. Mori, K. Inoue, S. Fukuta, N. Itoh, E. Mutoh, K. Ohkoshi, R.

Nakamura, K. Kobayashi, K. Kawamura, T. Saiki, S. Fukuyama, S. Satoh, M.

Kase, and K. Hashimoto, “A Novel Strain Enhanced CMOS Architecture Using Selectively Deposited HighTensile and High Compressive Silicon Nitride Films,” in IEDM Tech. Dig., p. 209, 2004

[3] T. Y. Liow, K. M. Tan, T. P. Lee, A. Du, C. H. Tung, G. S. Samudra, W. J.

Yoo, N. Balasubramanian, and Y. C. Yeo, in VLSI Symp. Tech. Dig., p. 56, 2006

[4] K. Rim, J. Chu, H. Chen, K.A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H.

Zhu, R. Roy, J. Newbury, J. Ott. K. Petrarca, P. Mooney, D. Lacey, S. Koester, K. Chan. D. Boyd, M. Ieong, and H.-S. Wong, “Characteristics and Device Design of Sub-100 nm Strain-Si N- and PMOSFETs,” in VLSI Symp. Tech.

Dig., p. 98, 2002.

[5] F. Andrieu, O. Faynot, F. Rochette, J.-C. Barbe, C. Buj, Y. Bogumilowicz, F.

Allain, V. Delaye, D. Lafond, F. Aussenac, S. Feruglio, J. Eymery, T. Akatsu, P. Maury, L. Brevard, L. Tosti, H. Dansas, E. Rouchouze, J.-M. Hartmann, L.

Vandroux, M. Casse, F. Boeuf, C. Fenouillet-Beranger, F. Brunier, I.

Cayreforcq, C. Mazure, G. Ghibaudo, S. Deleonibus, “ Impact of Mobility Boosters (XsSOI, CESL, TiN gate) on the Performance of <100> or <110>

oriented FDSOI CMOS devices for the 32nm Node,“ in VLSI Symp. Tech.

Dig., p. 50, 2007.

[6] C. H. Tsai, B. C. Lan, Y. H. Lin, W. T. Chiang, T. Y. Chang, P. W. Liu, J. W.

Pan, Y. C. Liu, J. L. Tsai, T. F. Chen and C. T. Tsai, “Unique Ultra Shallow Junction Scheme with Conventional Activation Process,” in VLSI Symp. Tech.

Dig., p. 188, 2006.

[7] W. S. Liao, Y. G. Liaw, M. C. Tang, K. M. Chen, S. Y. Huang, C. Y. Peng, and C. W. Liu, “PMOS Hole Mobility Enhancement Through SiGe Conductive Channel and Highly Compressive ILD-SiNx Stressing Layer,”

IEEE Electron Device Lett., vol 29, no.1, p. 86, 2008.

[8] K. M. Tan, M. Zhu, W. W. Fang, M. Yang, T. Y. Liow, T. P. Lee, K. M. Hoet, C. H. Tung, N. Balasubramaniant, G. S. Samudra, and Y. C. Yeo. “A New Liner Stressor with Very High Intrinsic Stress (> 6 GPa) and Low Permittivity Comprising Diamond-Like Carbon (DLC) for Strained P-Channel Transistors,” in VLSI Symp. Tech. Dig., p. 127, 2007.

[9] J. W. Pan, P. W. Liu, T. Y. Chang, W. T. Chiang, C. H. Tsai, Y. H. Lin, C. T.

Tsai, G. H. Ma, S. C. Chien and S. W. Sun, “Mobility and Strained Effects on

<110>/(110) SiGe channel pMOSFETs for High Current Enhancement,” in IEDM Tech. Dig., p. 1, 2006

[10] S. Pidin, T. Mori, K. Inoue, S. Fukuta, N. Itoh, E. Mutoh, K. Ohkoshi, R.

Nakamura, K. Kobayashi, K. Kawamura, T. Saiki, S. Fukuyama, S. Satoh, M.

Kase, and K. Hashimoto, “A Novel Strained Enhanced CMOS Architecture Using Selectively Deposited High Tensile And High Compressive Silicon Nitride Films,” in IEDM Tech. Dig., p. 217, 2004

[11] J. Kedzierski, E. Nowak, T. Kanarsky, Y. Zhang, D. Boyd, R. Carruthers, C.

Cabral, R. Amos, C. Lavoie, R. Roy, J. Newbury, E. Sullivan, J. Benedict, P.

Saunders, K. Wong, D. Canaperi, M. Krishnan, K. Lee, B. Rainey, D. Fried, P.

Cottrell, H. Wong, M. Ieong, and W. Haensch, “Metal-gate FinFET and fully-depleted SOI devices using total gate silicidation,” in IEDM Tech. Dig., p. 247, 2002.

[12] B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C. Yang, C. Tabery, C. Ho, Q.

Xiang, T. King, J. Bokor, C. Hu,M. Lin, and D. Kyser, “FinFET scaling to 10 nm gate length,” in IEDM Tech. Dig., p. 251, 2002.

[13] J. Hergenrother, G. Wilk, and T. Nigam, “50 nm vertical replacementgate

(VRG) nMOSFET’s with ALD HfO2 and Al2O3 gate dielectrics,” in IEDM Tech. Dig., p. 51, 2001.

[14] M. Yang, C. L. Chang, M. Carroll, and J. C. Sturm, “25 nm p-channel vertical MOSFET’s with SiGeC sources/drains,” IEEE Electron Device Lett., vol. 20, no. 6, p. 301, 1999.

[15] D. Buchanan, E. Gusev, and E. Cartier, et al., “80 nm poly-silicon gated n-FET’s with ultrathin Al2O3 gate dielectric for ULSI applications,” in IEDM Tech. Dig. p. 223, 2000.

[16] S. S. Chung, Y. R. Liu, C. F. Yeh, S. R. Wu, C. S. Lai, T. Y. Chang, J. H. Ho, C. Y. Liu, C. T. Huang, C. T. Tsai, W. T. Shiau, and S. W. Sun “A New Observation of the Germanium Outdiffusion Effect on the Hot Carrier and NBTI Reliabilities in Sub-100nm Technology Strained-Si/SiGe CMOS Devices,” in VLSI Symp. Tech. Dig., p. 86, 2005.

[17] S. S. Chung, Y. R. Liu, S. J. Wu, C. S. Lai, Y. C. Liu, D. F. Chen, H. S. Lin, W. T. Shiau, C. T. Tsai, S. C. Chien, and S. W. Sun, “A New Insight into the Degradation Mechanisms of Various Mobility-Enhanced CMOS Devices with Different Substrate Engineering,” in IEDM Tech. Dig. p. 567, 2005.

[18] S. S. Chung, D. C. Huang, Y. J. Tsai, C. S. Lai, C. H. Tsai, P. W. Liu, Y. H.

Lin, C. T. Tsai, G. H. Ma, S. C. Chien, and S. W. Sun, “New Observations on the Uniaxial and Biaxial Strain-Induced Hot Carrier and NBTI Reliabilities for 65nm Node CMOS Devices and Beyond,” in IEDM Tech. Dig. p.1, 2006.

[19] S. S. Chung1, S. J. Chen, C. K. Yang, S. M. Cheng, S. H. Lin, Y. C. Sheng, H.

S. Lin, K. T. Hung, D. Y. Wu, T. R. Yew, S. C. Chien, F. T. Liou, and F. Wen,

“A Novel and Direct Determination of the Interface Traps in Sub-100nm CMOS Devices with Direct Tunneling Regime (12~16A) Gate Oxide,“ in VLSI Symp. Tech. Dig., p. 74, 2002.

[20] S. S. Chung, D. K. Lo, J. J. Yang, and T. C. Lin, “Localization NBTI-induced oxide damage in direct tunneling regime gate oxide pMOSFET using a novel

low gate-leakage gated-diode (L2-GD) method,“in IEDM Tech. Dig., pp. 513, 2002.

[21] P. Heremans, J. Witters, G. Groeseneken, and H. E. Maes, “Analysis of the Charge Pumping Technique and Its Application for the Evaluation of the MOSFET Degradation,” IEEE Tran. Electron Devices, Vol. 36, No. 7, pp. 1318, 1989.

[22] G. Groeseneken, H. E. Maes, N. Beltran, and R. F. De Kecrsmaecker, “A Reliable Approach to Charge-Pumping Measurements in MOS Transistors,”

IEEE Trans. Electron Devices, Vol. 31, p. 42, 1984.

[23] S. S. Chung, S. J. Chen, C. K. Yang, S. M. Cheng, S. M. Lin, S. H. Cheng, S.

H. Lin, Y. C. Shen, H. S. Lin, K. T. Hung, D. Y. Wu, T. R. Yew, S. C. Chien, F.

T. Liou, and F. Wen, “A Novel and Direct Determination of the Interface Traps in Sub-100nm CMOS Devices with Direct Tunneling Regime (12~16A) Gate Oxide,” in Symposium on VLSI Tech., p. 74, 2002.

[24] C. T. Sah, “Effect of Surface Recombination and Channel on P-N Junction and Transistor Characteristics,” IRE Trans, Electron Devices, Vol. 9, p. 94, 1962

[25] A. S. Grove and D. J. Fitzgerald, “Surface Effects on p-n Junctions:

Characteristics of Surface Space-Charge Regions under Non-Equilibrium Conditions,” Solid-State Electronics, Vol. 9, p. 783, 1966.

[26] C. G. B. Garrett and W. H. Brattain, “Physical Theory of Semiconductor Surfaces,” Physical Review, Vol. 99, no. 2, 1955.

[27] T. Giebel and K. Goser, “Hot-carrier Degradation of n-channel MOSFET’s Characterized by a Gated-Diode Measurement Technique,” IEEE Electron Device Lett., Vol. 10, p. 76, 1989.

[28] A. Neugroschel and C. T. Sah, “Direct-Current Measurements of Oxide and Interface Traps on Oxidized Silicon,” IEEE Trans. Electron Devices, Vol. 42,

p. 1657, 1995.

[29] S. Okhonim, T. Hessler, and M. Dutoit, “Comparison of Gated-induced Drain Leakage and Charge Pumping Measurements for Determining Lateral Interface Trap Profiles in Electrically Stressed MOSFETs,” IEEE Trans.

Electron Devices, Vol. 43, p. 605, 1996.

[30] G. D. Lee, S. S. Chung, A.Y. Mao, W. M. Lin, C.W. Yang, Y. S. Hsieh, K.T.

Chu, L.W. Cheng, H. Tai, L.T. Hsu, C. R. Lee, H. L. Meng, C. T. Tsai, G. H.

Ma, S. C. Chien, and S.W. Sun, “Twin-GD: A New Twin Gated-Diode Measurement for the Interface Characterization of Ultra-Thin Gate Oxide MOSFET's with EOT Down to 1nm,” in Symposium on PFAIC., pp. 37, 2006.

[31] S. S. Chug, S. J. Chen, C. M. Yih, W. J. Yang, and T. S. Chao, “An Accurate Hot Camer Reliability Monitor for Deep-submicron Shallow S/D Junction Thin Gate Oxide n-MOSFET's,” IEEE, IRPS, p. 249, 1999.

[32] A. M. Martirosian and T.-P. Ma, “Lateral Profiling of Interface Traps and Oxide Charge in MOSFET Devices: Charge Pumping Versus DCIV,” IEEE Trans. Electron Devices, Vol. 48, no. 10, p. 2303, 2001.

[33] S. Okhonin, T. Hessler, and M. Dutoit, “Comparison of gated-induced drain leakage and charge pumping measurements for determining lateral interface trap profiles in electrically stressed MOSFET’s,” IEEE Trans. Electron Devices, Vol. 43, p. 605, 1996.

[34] C. Zhi-Yuan, M. T. Currie, C. W. Leitz, G. Taraschi, E. A. Fitzgerald, J. L.

Hoyt, and D. A. Antoniadis, “Electron Mobility Enhancement in Strained-Si nMOSFETs fabricated on SiGe-on-insulator (SGOI) substrates,” IEEE Electron Device Lett., Vol. 22, p. 321, 2001.

[35] S Thompson, G Sun, K Wu, J Lim, and T Nishida, “Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs,” in IEDM Tech. Dig., p. 221, 2004.

[36] S. E. Thompson, G. Sun, Y. S. Choi, and T Nishida,

“Uniaxial-process-induced strained-Si: extending the CMOS roadmap” in IEDM Tech. Dig., p. 1010, 2006.

[37] These data are prepared by United Microelectronics Corporation (UMC) [38] M. Makabe, T. Kubota, and T. Kitano, “Bias-temperature degradation of

pMOSFETs: mechanism and suppression,” IEEE, IRPS., p.205, 2000.

[39] B. Zhu, J. S. Suehle, Y. Chen, and J. B. Bernstein, “Negative bias temperature instability of deep sub-micron p-MOSFETs under pulsed bias stress,”

Integrated Reliability Workshop Final Report, p. 125, 2002.

相關文件