• 沒有找到結果。

Chapter 6 Conclusions and Future Work

6.2 Future Work

Wireless medical microsensors are usually with two different operating modes:

Low-Power Mode and Performance Mode because the well-known signals of the main characteristics of cardiac activity, e.g. heart rate and ECG, are at a very low rate.

More than 99% operating time of sensor nodes are operating in low-power mode to record various physiological signals throughout its life time while only less than 1%

operating time in performance mode to process and transmit real-time informative cardiovascular parameters to a host. This low-power-mode-dominated scenario is capable of further reducing total energy consumption if dynamic voltage frequency scaling (DVFS) technique is applied. The benefit of DVFS technique is attributed to the quadratic savings in active CVDD2f power.

The proposed programmable clock generator is used for dynamic voltage frequency scaling system which is operated in sub/near-threshold region. Figure 6.1 shows the sub/near-threshold DVFS system, it is composed of two switched-capacitor (SC) DC-DC converters, decoupling capacitors (DeCaps), the proposed clock generator, level shifters (LS), DVFS controller, PVT sensors, supply switch, and near/sub-threshold 8T SRAM-based FIFO. The clock generator is equipped with two frequency dividers, thereby able to output two multiplied clock. CLK_r is for the read clock, and CLC_w is for write clock in FIFO.

In Performance Mode, heart rate information is transmitted to a host. The supply voltage of FIFO is switched to VddH for high performance operation, and CLK_w and CLK_r are scaled up to a frequency that the function error will not happen according to PVT conditions sensed by PVT sensors. The PVT sensors were

82

Performance Mode, the sub/near-threshold dynamic frequency voltage scaling system can save large power.

Figure 6.1 Sub/near-threshold DVFS system

83

Bibliography

References of chapter 1

[1.1] S. K. Gupta, A. Raychowdhury and K. Roy, “Digital computation in subthreshold region for ultralow-power operation: a device-circuit-architecture codesign perspective,” Proceeding of the IEEE, Feb. 2010, pp. 160-190.

[1.2] L. Chang, D. J. Frank, R. K. Montoye, S. J. Koester, B. L. Ji, P. W. Coteus, R. H.

Dennard and W. Haensch, “Practical strategies for power-efficient computing technologies,” Proceeding of the IEEE, Feb. 2010, pp. 215-236.

[1.3] B. H. Calhoun, J. F. Ryan, S. khanna, M. Putic, J. Lach, “Flexible circuits and architectures for ultralow power,” Proceeding of the IEEE, Feb. 2010, pp.

267-282.

[1.4] A. P. Chandrakasa, D. C. Daly, D. F. Finchelstein, J. Kwong, Y. K. Ramadass, M. E. Sinangil, V. Sze and N. Verma, “Technologies for Ultradynamic voltage scaling,” Proceeding of the IEEE, Feb. 2010, pp. 191-214.

[1.5] D. Markovic, C. C. Wang, L. P. Alarcon, T.-T. Liu, J. M. Rabaey,

“Ultralow-power design in near-threshold region,” Proceeding of the IEEE, Feb.

2010, pp. 237-252.

84

References of chapter 2

[2.1] E.G. Friedman, “Clock distribution networks in synchronous digital integrated circuits,” Proceedings of the IEEE, vol. 89, issue 5, May 2001, pp. 665-692.

[2.2] D. Wann and M. Franklin, “Asynchronous and clocked control structures for VLSI based interconnection networks,” IEEE Trans. Comput., vol. C-32, Mar.

1983, pp. 778-783.

[2.3] E. G. Friedman and S. Powell, “Design and analysis of a hierarchical clock distribution system for synchronous standard cell/macrocell VLSI,” IEEE J.

Solid-State Circuits, vol. SC-21, Apr. 1986, pp. 240-246.

[2.4] D. Mijuskovic, “Clock distribution in application specific integrated circuits,”

Microelectron. J., vol. 8, July/Aug. 1987, pp. 15-27.

[2.5] H. B. Bakoglu, J. T. Walker, and J. D. Meindl, “A symmetric clock-distribution tree and optimized high-speed interconnections for reduced clock skew in ULSI and WSI circuits,” Proc. IEEE Int. Conf. Computer Design, Oct. 1986, pp.

118-122.

[2.6] M. Nekili, Y. Savaria, G. Bois, and M. Bennani, “Logic-based H-trees for large VLSI processor arrays: A novel skew modeling and high-speed clocking method,”

in Proc. 5th Int. Conf. Microelectronics, Dec. 1993, pp.1-4.

[2.7] H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI. Reading, MA: Addison Wesley, 1990.

85

[2.8] A. Chakraborty, K. Duraisami, A. Sathanur, P. Sithambaram, L. benini, A.

Macii, E. Macii and M. Poncino, “Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers,” IEEE Trans. on VLSI Systems, vol. 16, no. 6, June 2008, pp. 639-649.

[2.9] T. Ragheb, A. Ricketts, M. Mondal, S. Kirolos, G. M. Links, V. Narayanan, and Y. Massoud, “Design of Thermally Robust Clock Trees Using Dynamically Adaptive Clock Buffers,” IEEE Transactions on Circuits and System I, vol. 56, Feb. 2009, pp. 374–383.

[2.10] J. Koo, S. Ok, and C. Kim, “A low-power programmable DLL-based clock generator with wide-range antiharmonic lock,” IEEE Trans. on Circuits and Systems II, vol. 56, no. 1, Jan. 2009, pp. 21-25.

[2.11] C.-Y. Yang, C.-H. Chang and W.-G. Wong, “A △ - Σ PLL-based spread-spectrum clock generator with a ditherless fractional topology,” IEEE Trans. on Circuits and Systems I, vol. 56, no. 1, Jan. 2009, pp. 51-59.

[2.12] D. Shin, J. Koo, W.-J. Yun, Y. J. Choi and C. Kim, “A fast-lock synchronous multi-phase clock generator based on a time-to-digital converter,” IEEE International Symposium on Circuits and Systems, May 2009, pp 1-4.

[2.13] W.-M. Lin, C.-C. Chen and S.-I. Liu, “An All-Digital Clock Generator for Dynamic Frequency Scaling,” in Int. Symp. VLSI Design, Automation and Test, July 2009, pp. 251-254.

86

References of chapter 3

[3.1] B. H. Calhoun, S. Khanna, R. Mann, and J. Wang, “Sub-threshold circuit design with shrinking CMOS devices,” IEEE Int’l Symp. Circuits and Systems, May 2009, pp. 2541-2544.

[3.2] B. H. Calhoun, A. Wang, and A. Chandrakasan, “Modeling and sizing for minimum energy operation in subthreshold circuits,” IEEE J. of Solid-State circuits, vol. 40, Sep. 2005, pp. 1778-1786.

[3.3] Sutherland, B. Sproull, and D. Harris, Logical Effort: Designing Fast CMOS Circuits. San Francisco, CA: Morgan Kaufmann, 1999.

[3.4] X. Y. Yu, V. G. Oklobdzija, and W. W. Walker, “Application of logical effort on design of arithmetic blocks,” Conference Record of the Thirty-Fifth Asilomar Conference on Signals, Systems and Computers, vol.1, Nov. 2001, pp. 872–874.

[3.5] A. Kabbani, D. Al-Khalili, and A.J. Al-Khalili, “Delay macro modeling of CMOS gates using modified logical effort technique,”IEEE International Conference on Semiconductor Electronics, Dec. 2004, pp. 56-60.

[3.6] B. Lasbouygues, S. Engels, R. Wilson, P. Maurine, N. Azemard, and D.

Auvergne, “Logical effort model extension to propagation delay representation,”

IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.

25, no. 9, Sep. 2006, pp. 1677-1684.

[3.7] C.-H. Wu, S.-H. Lin, H. Chiueh, “Logical Effort Model Extension with Temperature and Voltage Variations,” 14th Int’l Workshop on THERMINIC, Sep.

2008, pp. 85-88.

87

[3.8] K. A. Bowman, B. L. Austin, J. C. Eble, X. Tang, and J. D. Meindl, “A Physical Alpha-Power Law MOSFET Model,” IEEE J. Solid-State Circuits, vol. 34, no.10, Oct. 1999, pp. 1410-1414.

88

References of chapter 4

[4.1] S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De,

“Parameter variation and impact on circuits and microarchitecture,” in Proc.

Design Autom. Conf., 2003, pp. 338–342.

[4.2] T. Ragheb, A. Ricketts, M. Mondal, S. Kirolos, G. M. Links, V. Narayanan, and Y. Massoud, “Design of Thermally Robust Clock Trees Using Dynamically Adaptive Clock Buffers,” IEEE Transactions on Circuits and System I, vol. 56, Feb. 2009, pp. 374–383.

[4.3] W. Zhao and Y. Cao, “New generation of predictive technology model for sub-45 nm design exploration,” in Proc. Int. Symp. Qual. Electron.Des., 2006, pp.

585–590. [Online]. Available: http://www.eas.asu.edu/ptm

[4.4] K. Shakeri and J. Meindl, “Temperature variable supply voltage for power reduction,” in Proc. ISVLSI, 2002, pp. 71–74.

[4.5] H. Ajami, K. Banerjee, and M. Pedram, “Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects,” IEEE Trans.

Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 6, Jun. 2001, pp.

849–861.

[4.6] M. Cho, S. Ahmed, and D. Z. Pan, “TACO: Temperature aware clocktree optimization,” in Proc. ICCAD, 2005, pp. 582–587.

[4.7] Macii, Thermal-Aware Clock Tree Design 2005.

89

[4.8] V. Nawale and T. W. Chen, “Optimal useful clock skew scheduling in the presence of variations using robust ILP formulations,” presented at the IEEE/ACM Int. Conf. Computer-Aided Design, San Jose, CA, 2006.

[4.9] S. Lee, S. Das, T. Pham, T. Austin, D. Blaauw and T. Mudge, “Reducing pipeline energy demands with local DVS and dynamic retiming,” presented at the Int. Symp. Low Power Electronics and Design, 2004.

[4.10] Shi-Wen Chen, Ming-Hung Chang, Wei-Chih Hsieh, and Wei Hwang,

“Fully on-chip temperature, process, and voltage sensors,” IEEE International Symposium on Circuits and Systems, May 2010.

90

References of chapter 5

[5.1] J Y. Yu, C. C. Chung, W. C. Liao, and C. Y. Lee, “A sub-mW ulti-Tone CDMA Baseband Transceiver Chipset for Wireless Body Area Network Applications,”

ISSCC Dig. Tech. papers, Feb. 2007, pp. 364-365.

[5.2] A. C. W. Wong, D. M. Donagh, G. Kathiresan, O. C. Omeni, O. El-Jamaly, T.

C-K. Chan, P. Paddan, and A. J. Burdett, “A 1V, Micropower System-on-Chip for Vital-Sign Monitoring in Wireless Body Sensor Network,” ISSCC Dig. Tech.

Papers, Feb. 2008, pp. 138-139.

[5.3] A. Shibayama, K. Nose, Sunao Torii, M. mizuno, and M. Edahiro,

“Skew-Tolerant global synchronization based on periodically al-in-phase clocking for Multi-Core SOC platforms,” Symp. VLSI Circuits Digest of Technique Papers, June 2007, pp. 158-159.

[5.4] J. H. Kim, Y. H. Kwak, M. Y. Kim, S. W. Kim and C. Kim, “A 120MHz-1.8GHz CMOS DLL-Based clock generator for dynamic frequency scaling,” IEEE J. Solid-State Circuis, vol. 41, Sep. 2006, pp. 2077-2082.

[5.5] W.-M. Lin, C.-C. Chen and S.-I. Liu, “An All-Digital Clock Generator for Dynamic Frequency Scaling,” in Int. Symp. VLSI Design, Automation and Test, July 2009, pp. 251-254.

[5.6] J. Koo, S. Ok, and C. Kim, “A low-power programmable DLL-based clock generator with wide-range antiharmonic lock,” IEEE Trans. on Circuits and Systems II, vol. 56, no. 1, Jan. 2009. pp. 21-25.

91

[5.7] B. Mesgarzadeh and A. Alvandpour, “A low-power digital DLL-based clock generator in open-loop mode,” IEEE J. Solid-State Circuits, vol. 44, no. 6, July 2009, pp. 1907-1913.

[5.8] J. Kwong, Y. K. Ramadass, N. Verma and A. P. Chandrakasan, “A 65 nm sub-Vt microcontroller with integrated SRAM and switched capacitor DC-DC converter,”

IEEE J. Solid-State Circuis, vol. 44, no. 1, Jan. 2009, pp. 115-126.

[5.9] B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, “Analysis and mitigation of variability in subthreshold design,” in Proc. Int. Symp. Low-Power Electronics and Design (ISLPED), Aug. 2005, pp. 20-25.

[5.10] M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G. Welbers, “Matching properties of MOS transistors,” IEEE J. Solid-State Circuits, vol. 24, no. 5, Oct.

1989, pp. 1433-1439.

[5.11] A. Rossi and G. Fucilli, “Nonredundant successive approximation register for A/D converters,” Electron. Lett., vol. 32, no. 12, Jun. 1996, pp. 1055-1057.

[5.12] T. Matano, Y. Takai, T. Takahashi, Y. Sakito, I. Fujii, Y. Takaishi, H.

Fujisawa, S. Kubouchi, S. Narui, K. Arai, M. morino, M. Nakamura, S. Miyatake, T. Sekiguchi, and K. Koyama, “A 1-Gb/s/pin 512-Mb DDRII SDRAM using a digital DLL and a slew-rate-controlled output buffer,” IEEE J. Solid-State Circuits, vol. 38, no. 5, May 2003, pp. 762-768.

[5.13] R.-J. Yang and S.-I. Liu, “A 40-550 MHz Harmonic-Free All-Digital Delay-Locked Loop Using a Variable SAR Algorithm,” IEEE J. Solid-State Circuits, vol. 42, no. 2, Feb. 2007, pp. 361-373.

92

[5.14] R. Farjad-Rad, W. Dally, H. T. Ng, R. Senthinathan, M.-J. E. Lee, R. Rathi, and J. Poulton, “A low-power multiplying DLL for low-jitter multigigahertz clock generator in highly integrated digital chips,” IEEE J. Solid-State Circuits, vol. 37, Dec. 2002, pp. 1804-1812.

93

Vita

謝忠穎 Chung-Ying Hsieh

PERSONAL INFORMATION

Birth Date: May 27, 1986

Birth Place: Changhua, TAIWAN

E-Mail Address: johnny.ee97g@g2.nctu.edu.tw

EDUCATION

09/2008 – 07/2010 M.S. in Electronics Engineering, National Chiao Tung University Thesis: PVT-Robust ULV Clock System Design for

Sub/Near-Threshold Green Technologies

09/2004 – 06/2008 B.S. in Engineering Science, National Cheng Kung University

PUBLICATIONS

Chung-Ying Hsieh, Ming-Hung Chang, Shang-Yuan Lin, and Wei Hwang, “Logical Effort Models with Voltage and Temperature Extensions in Super-/Near-/Sub-threshold Regions” IEEE Asia Pacific Conference Circuits and Systems, May. 2010. (Submitted)

PATENTS

Chung-Ying Hsieh, Ming-Hung Chang, and Wei Hwang, “A thermally robust buffered clock tree using logical effort compensation” US/TW Patent Pending (submitted) Chung-Ying Hsieh, Ming-Hung Chang, and Wei Hwang, “A programmable clock generator for sub- and near-threshold DVFS system” US/TW Patent Pending (submitted)

相關文件