• 沒有找到結果。

Influence of Bias-Temperature Stressing on the Electrical Characteristics of SiOC:H Film with Cu/TaN/Ta-gated Capacitor

Impact of Diffusion Barrier Layers on Integration of Low-k SiOC:H and Copper

4.3.2 Influence of Bias-Temperature Stressing on the Electrical Characteristics of SiOC:H Film with Cu/TaN/Ta-gated Capacitor

4.3.2-1 Electrical characteristic

The drift diffusion of Cu+ ions is studied by determining the shift in the flatband voltage (VFB) in the C-V curve for processed Cu gate capacitors before and after BTS.

VFB is determined by the number of charges in the dielectric and at the dielectric/Si interface [25]. The contribution of the flatband voltage shift of Cu+ ions (∆VFB[Cu+

]) after positive bias BTS is applied can be extracted by subtracting the flat band voltage shift of a Cu/TaN/Ta-gated capacitor (∆VFB[Cu/TaN/Ta]) from that of a Cu-gated capacitor (∆VFB[Cu]), using Eq. (4.2), to demonstrate the effectiveness of the barrier layers and the Cu injection mechanism.

]

Therefore, a positive flatband voltage shift reveals an increase in the positive charge (Cu+) in the SiOC:H film. Figure 4.10 shows the flatband voltage shift of the Cu+ ions (∆VFB[Cu+

]) versus stressing time at various temperatures in the electric field of 1.5 MV/cm, using Eq. (4.2). Every data point is a mean of results from ten tested capacitors. MIS gate capacitors stressed at 175 and 200°C exhibit minor changes of

∆VFB[Cu+

] as the stressing time changes, while capacitors stressed at 225 and 250°C have a higher ∆VFB[Cu+

] of Cu+ ions. The general trend clearly suggests the continuous injection of Cu+ ions and the rapid injection of Cu+ ions at high temperature.

The drift rate of Cu+ ions is determined from the gradients of the lines fitted using Eq. (4.3), to quantify the diffusion [26].

[ ] ( )

where [Cu+] is the Cu+ ion concentration per unit area; Cox is the dielectric stack capacitor per unit area, and q is the magnitude of the charge on an electron (1.6x10-19 C). This equation assumes that the Cu+ ions drifted to the SiO2/Si interface. The initial drift rate is determined by the change in the electric field in the dielectric with time, due to the accumulation of Cu+ ions. Figure 4.11 displays Arrhenius plots of the drift rates in the different MIS capacitors. Increasing the temperature increases the drift rate of Cu+ ions in the material. Moreover, the drift rates of Cu+ ions in HDPCVD SiOC:H layers are markedly lower than those in PECVD SiOC:H layers [27]. These characteristics - the low hydrogen (low defect sites) and the high oxygen concentration (enhanced porous densities) - of SiOC:H films deposited on the HDPCVD system, reduce the number of carrier-trapping sites, and therefore, slightly reduce the drift rate of Cu+ ions [5].

The drift of Cu+ ions into the SiOC:H film was examined using J–E analysis. The J–E characteristics of Cu-gated and Cu/TaN/Ta-gated MIS capacitors were measured at 175, 200, 225 and 250°C and are plotted in Figs. 4.12(a) and (b), respectively. The Cu-gated MIS capacitor exhibits consistently higher leakage current (8x10-10~2x10-8 A/cm2 at 1 MV/cm) than a Cu/TaN/Ta-gated MIS capacitor (2x10-10~5x10-10A/cm2 at 1 MV/cm) at the same temperature. The higher leakage current of a Cu-gated MIS capacitor is probably related to the ionization and injection of Cu+ ions into dielectrics.

According to a physical model introduced elsewhere[27,28], Cu atoms are ionized into Cu+ ions at gate interface under positive gate bias, and these Cu+ ions are then injected into the dielectrics, leaving behind electrons collected using an external instrument. In an external electric field, the flux of Cu+ ions from gate to the SiO2/Si interface, and the electrons collected by an external instrument tend to increase the leakage current. The difference between the leakage current densities of the Cu-gated and Cu/TaN/Ta-gated MIS capacitors (Jdiff) were measured and given in Fig. 4.13.

Jdiff increases with electric field and temperature, indicating that Cu+ ions drift into the HDPCVD SiOC:H is the probable cause of larger Jdiff. Based on the assumption that the drifting of Cu+ ions into SiOC:H determines ∆VFB[Cu+

] in BTS/C–V analysis and Jdiff in J–E analysis, ∆VFB[Cu+

] should be linearly correlated with Jdiff according to,

charge density. Figure 4.14 compares Jdiff at 1.5 MV/cm (30V), which is the voltage used in the BTS/C–V test, to ∆VFB[Cu+

] after 40 min BTS. A good linear correlation is found between ∆VFB[Cu+

] and Jdiff, revealing that Jdiff is related to the number of injected Cu+ ions.

4.3.2-2 Electrical Mechanism

Several mechanisms may govern the conduction of the leakage current in the Cu/TaN/Ta-gated MIS capacitor, including Schottky emission, the Poole-Frenkel effect, electronic hopping conduction and tunneling [18,29-31]. Schottky emission is modeled as [31], where A is a constant; T represents the absolute temperature, q is the electronic

charge, φ0 is the barrier height, kis the Boltzmann constant, and β is given by

2

where ε0 is the permittivity of free space and ε is the high-frequency dielectric constant. Figure 4.15(a) plots ln(J) as a function of E1/2. The figure demonstrates that

different conduction mechanisms dominate in different electric field regimes. Two linear regions are observed, and the slope yields the corresponding effective dielectric constant in the electric field E<1.25 MV/cm. The figure demonstrates that the dominant conduction mechanism in the Cu/TaN/Ta-gated MIS capacitor is Schottky emission in the electric field E<1.25 MV/cm, at which electrons from the cathode overcome the Ta/SiOC:H energy barrier before they are emitted. Figure 4.15(b) presents Richardson plots of ln(J/T2) vs. (1000/T) associated with a close examination of Schottky emission transport, for electric fields between 0.5 and 1.25 MV/cm. The straight lines fitted to the data points are quite consistent with Schottky emission. The leakage current density increases with the measured temperature and the electric field, because when an electron enters the SiOC:H, it generates an image field that adds to and subtracts from the barrier field, reducing barrier height and increasing current.

When electric field E>1.5 MV/cm, electrical conduction is governed by Poole-Frenkel emission, which is described by [31],

⎟⎠

Figure 4.16(a) plots ln(J/E) as a function of E1/2. The gradient in the linear region yields the corresponding effective dielectric constants in electric field E>1.5 MV/cm, which value is close to that obtained from the C-V measurement. Therefore, Poole-Frenkel emission is deduced to dominate in electric field E>1.5 MV/cm. The leakage current increases with the temperature, as shown in Fig. 4.16(b).

Poole-Frenkel emission results from the field-enhanced excitation of trapped electrons into the conduction band of the dielectric and its existence in Cu/TaN/Ta-gated MIS

capacitor indicates the presence of electron traps. In fields of over 1.5 MV/cm, electrons in SiOC:H bulk traps absorb sufficient energy to be excited to the conduction band and Poole-Frenkel emission then dominates conduction.

4.3.2-3 Device Lifetime

The impact of Cu+ ions on breakdown has been examined by comparing the TDDB test results of Cu-gated and Cu/TaN/Ta-gated MIS capacitors in SiOC:H dielectrics.

Figure 4.17 plots the I-t characteristics of the SiOC:H MIS capacitors at 200-250°C and 2.5 MV/cm. At the beginning of the stress test, the leakage current declined. The decrease in the current in the first stage is believed to be caused by electron trapping in the dielectric films [32,33]. After the majority of the electron traps have been filled, the leakage currents decrease during the middle stage. However, the leakage current rapidly increased and fatal breakdown occurred after stress was applied for a longer period. The Cu-gated capacitor has a higher leakage current than the Cu/TaN/Ta-gated capacitor under the same test conditions. The Cu/TaN/Ta-gated MIS capacitor was found to exhibit a time-to-breakdown value (tBD) of over 75 min at 2500C, longer than of the Cu-gated MIS capacitor, which broke down after 25 min at 2000C. In the Cu-gated capacitor, the data reveals that the leakage curve during TDDB increases a lot just before breakdown due to the degradation of the SiOC:H film. Li et al. reported that the leakage curve may not increase before the breakdown even if there are Cu+ ions [34]. Therefore, the higher leakage current of the Cu-gated capacitor is believed to be caused by defects (like moisture) in the samples due to process and absence of a passivation layer protecting the SiOC:H film.

4.3.2-4 Mechanism of Injection of Cu+ ions

Physical models previously presented by other researchers suggest that Cu atoms are thermally ionized at the Cu-dielectric interface, leaving behind free electrons, while the Cu+ ions drift towards the substrate under the influence of the applied positive bias [15,35]. Figures 4.18(a) and (b) show the injection of Cu+ ions in Cu-gated and Cu/TaN/Ta-gated MIS capacitors, respectively. The physical model displayed in Fig. 4.18(a) describes the drift kinetics of Cu+ ions. A positive electric field ionizes Cu atoms and then injects the resulting Cu+ ions into the SiOC:H dielectrics, generating leakage currents. They accumulate at the interface, setting up an uncompensated positive space charge near the Si substrate, as indicated by the shift in C-V analyses. Hence, the dielectric conduction and valence band edges are distorted such that the magnitude of electric field is increased while Cu+ ions accumulate in the dielectrics. In the absence of Cu+ ions, however, the electric field of a Cu/TaN/Ta-gated MIS capacitor is distributed uniformly, as presented in Fig.

4.18(b). A lower Cu ionization rate at the Cu-dielectric interface and a lower Cu+ ions drift rate in the dielectric are desired to slow the rate of accumulation of Cu+ ions in the dielectric and thus increase tBD.

4.4 Summary

The interaction between low-k dielectric SiOC:H and diffusion barrier layers varied with the annealing temperature. Deposition of CVD-Ti layer at 5500C, O and C atoms were dissolved into the Ti film to form Ti(O) and Ti(C) compounds, increasing the sheet resistance. The Ti did not improve the loss of H from SiOC:H caused by the thermal decomposition, however, the TiN block the lattice diffusion path for H atoms.

The drift of Cu+ ions was used to investigate the effectiveness of diffusion barrier layers using BST/C-V analysis. Under a 2 MV/cm electric field, higher Cu+ ions drift resistance for TaN layer was compared to CVD and PVD TiN layers.

The drift of copper Cu+ ions into a SiOC:H film, which is a promising low-k material for use in inter-layer dielectrics in ultra-large scale integrated circuit interconnects, was examined using BTS/C–V and J–E plots at elevated temperatures and in TDDB tests. The physical model demonstrates that Cu+ ions significantly accelerate the breakdown of SiOC:H films, and the buildup of positively charged Cu+ ions in the dielectric cathode region is believed to enhance the local electric field and accelerate thermochemical breakdown process, distorting the dielectric conduction and valence band edges, and increasing the magnitude of the electric field. Integrating Cu in low-k SiOC:H with poor Cu resistance involves thin TaN and Ta diffusion barrier layers, which effectively provide a low leakage current density of around 2x10-10 A/cm2 at 1 MV/cm, a high breakdown field at E>4 MV/cm of over 1.0x10-6 A/cm2, and a low Cu+ ion drift rate at various temperatures in the Cu/TaN/Ta-gated MIS capacitor.

References

[1] R. C. Liu, C. S. Pai and E. Martinez, Solid-State Electron. 43, 1003 (1999).

[2] S. P. Murarka, Mater. Sci. Eng. 19, 87 (1997).

[3] T. Sakurai, IEEE Trans. Electron Dev. 40, (1993) 118.

[4] J. M. Shieh, K. C. Tsai, B. T. Dai, S. C. Lee, C. H. Ying and Y. K. Fang, J.

Electrochem. Soc. 149(7), 384 (2002).

[5] K. C. Tsai, J. M. Shieh and B. T. Dai, Electrochem. Solid-State Lett. 6(10), F31 (2003).

[6] J. M. Shieh, K. C. Tsai and B. T. Dai, Appl. Phys. Lett. 81, 1294 (2002).

[7] J. M. Shieh, K. C. Tsai and B. T. Dai, Appl. Phys. Lett. 82, 1914 (2003).

[8] C. Ryu, K. W. Kwon, A. L. S. Loke, H. Lee, T. Nogami, V. M. Dubin, R. A.

Kavari, G. W. Ray and S. S. Wong, IEEE Trans. Electron Dev. 46, 1113 (1999).

[9] W. F. Wu, K. C. Tsai, C. G. Chao, J. C. Chen and K.L. Ou, J. Electronic Mater.

34(8), 1150 (2005).

[10] W. F. Wu, K. L. Ou, C. P. Chou and C. C. Wu, J. Electrochem. Soc. 150(2), G83 (2003).

[11] K. C. Tsai, W. F. Wu, J. C. Chen, C. G. Chao and T. J. Pan, J. Vac. Sci. Technol.

B 22(3), 993 (2004).

[12] H. Kizil and C. Steinbrüchel, Thin Solid Films 449, 158 (2004).

[13] J. S. Jeng and J. S. Chen, J. Electrochem. Soc. 149(8), G455 (2002).

[14] J. Bonitz, S. E. Schulz and T. Gessner, Microelectron. Eng. 70, 330 (2003).

[15] H. Cui and P. A. Burke, Appl. Phys. Lett. 84, 2629 (2004).

[16] R. J. O. M. Hoofman, G. J. A. M. Verheijden, J. Michelon, F. Iacopi, Y. Travaly, M. R. Baklanov, Zs. TÖkei and G. P. Beyer, Microelectron. Eng. 80, 337 (2005).

[17] F. Lanckmans and K. Maex, Microelectron. Eng. 60, 125 (2002).

[18] Y. -L. Li, Zs. Tökei and K. Maex, Microelectron. Eng. 76, 20 (2004).

[19] Y. Zeng, S. W. Russell, A. J. McKerrow, P. Chen and T. L. Alford, Thin Solid Films 360, 283 (2000).

[20] W. L. Yang, W. F. Wu, D. G. Liu, C. C. Wu and K. L. Ou, Solid-State Electron.

45, 149 (2001).

[21] S. Voss, S. Gendikota, L. Y. Chen, R. Tao, D. Cong, A. Duboust, N. Yoshida and S. Ramaswami, Microelectron. Eng. 50, 1501 (2000).

[22] T. Yamaha and M. Naito, J. Electrochem. Soc. 143, 3297 (1996).

[23] D. T. On, S. Kaliaguine and L. Bonneviot, J. Catalysis 157(1), 235 (1995).

[24] M. Wittmer and H. Melchior, Thin Solid Films 93, 392 (1982).

[25] S. P. Murarka, Mater. Sci. Eng. R19 (3–4), 88 (1997).

[26] A. L. S. Loke, J. T. Wetzel, J. J. Stankus, M. S. Angyal, B. K. Mowry and S. S.

Wong, IEEE Electron Device Lett. 19(6), 177 (1998).

[27] H. Cui, I. B. Bhat, S. P. Murarka, H. Lu, W. J. Hsia and W. Catabay, J. Vac. Sci.

Technol. B 20(5), 1987 (2002).

[28] S. McClatchie, K. Beekmann and A. Kiermasz, Proceedings of the Dielectrics for VLSI/USLI Multilevel Interconnect Conference, 1998, p. 311.

[29] C. Chaneliere, J. L. Autran, R. A. B. Devine and B. Balland, Mater. Sci. Eng.

R22, 269 (1998).

[30] E. Atanassova, N. Novkovski, A. Paskaleva and M. P- Gjorgjevich, Solid-State Elec. 46, 1887 (2002).

[31] S. M. Sze, Physics of Semiconductor Device. New York: Wiley, 1981 p. 478.

[32] I. C. Chen, S. Holland and C. Hu, IEEE Trans. Electron Dev. ED-32, 413 (1985).

[33] S. Yamamichi, A. Yamamichi, D. Park, T. J. King and C. Hu, IEEE Trans.

Electron. Dev. 46, 342 (1999).

[34] Y. -L. Li, Zs. Tökei, Ph. Roussel, G. Groeseneken and K. Maex, Microelectron.

Reliability. 45, 1299 (2005).

[35] A. L. S. Loke, J. T. Wetzel, P. H. Townsend, T. Tanabe, R. N. Vrtis, M. P.

Zussman, D. Kumar, C. Ryu and S. S. Wong, IEEE Trans. Electron Dev. ED-46, 2178 (1999).

Figure 4.1 The structures of Cu/barrier/SiOC:H MIS capacitor.

p

+

-Si

300 nm

HDPCVD SiOC:H