• 沒有找到結果。

Chapter 3 Analysis of HfAlO MIM Capacitor

3.6 Limitation of the modified Physical model

In this section, we will discuss the limitations of the modified physical model. From the

tunneling distance formula:

because the higher frequencies make the ac signal jump in and out quickly as shown in Fig. 3- 15. But in this thesis, we only measured the highest frequency up to 1M Hz due to the frequency limitation of Agilent 4284A precision LCR meter. We can observe that the tunneling distance is also dependent on the work function of the electrode. By mathematic method, when using higher work function-material as the electrode, the extracted tunneling distance will be nearer the TiN/HfAlO interface. To comprehend deeply, we modulate the work function and the measured frequency to detect the shallower tunneling distance. We fixed electric field and change the work function as 5.3, 4.3, and 3.3eV. The details were showed in Fig. 3- 16 . In conclusion, to detect the border trap nearer the interface, we have to measure at higher frequency or change higher work function-material as the electrode.

Furthermore, to detect the shallower trap energy depth (Et), we can increase the applied electric field, which might cause breakdown. So, it is tradeoff between shallower energy depth and the breakdown happening.

Table 3-I The capacitance density with different Al/Hf ratio and thickness.

(a)

(b)

(c)

(d)

(e)

(f)

Fig. 3-2 The TEM images of TiN/HfAlO/TiN MIM capacitors with(a) Al:Hf=1:8.7, 15nm-thick (b) Al:Hf=1:8.7, 25nm-thick(c) Al:Hf=1:8.7, 35nm-thick (d) Al:Hf=1:5.8, 15nm-thick (e) Al:Hf=1:5.8, 25nm-thick (f) Al:Hf=1:5.8, 35nm-thick.

Al:Hf=1:8.7_15nm

Al:Hf=1:8.7 (35nm)

Al:Hf=1:5.8 (25nm)

Frequency (Hz)

103 104 105 106

Capacitance (F)

0 2x10-10 4x10-10 6x10-10 8x10-10

original data corrected data

(e)

Al:Hf=1:5.8 (35nm)

Frequency (Hz)

103 104 105 106

Capacitance (F)

0 10-10 2x10-10 3x10-10 4x10-10

original data corrected data

(f)

Fig. 3- 3 Comparison of the original and the corrected C_F characteristics with (a)-(f) Al:Hf=1:8.7 and Al:Hf=1:5.8 TiN/HfAlO/TiN MIM capacitors with dielectric thickness :15nm, 25nm, 35nm at 3V.

Al:Hf=1:8.7_15nm

Al:Hf=1:8.7 (35nm)

Al:Hf=1:5.8 (25nm)

Al:Hf=1:5.8 (35nm)

Fig. 3- 4 VCC-α versus voltage characteristics of Al:Hf=1:8.7 and Al:Hf=1:5.8 with dielectric thickness :15nm, 25nm, 35nm at 3V.

Al:Hf=1:8.7

Thickness (nm)

10 15 20 25 30 35 40

ppm/V2 

100 1000 10000

Capacitance density (fF/um2)

6 8 10 12 14

ppm/V2

100 1000 10000

(a)

Thickness (nm)

Fig. 3- 5 (a)Thickness dependence of VCC-α for the MIM capacitors with

Al:Hf=1:8.7. The inset shows VCC-α dependence on capacitance density (b)Thickness dependence of VCC-α for the MIM capacitors with

Al:Hf=1:5.8. The inset shows VCC-α dependence on capacitance density.

(a)

(b)

Fig. 3- 6 (a) Typical J-V characteristics of the MIM capacitors with Al:Hf=1:8.7 (b) Typical J-V characteristic of the MIM capacitors with Al:Hf=1:5.8.

(a)

(b)

Fig. 3- 7 (a) Schottky emission fitting of TiN/HfAlO/TiN capacitors with Al:Hf=1:8.7 (b) Schottky emission fitting of TiN/HfAlO/TiN capacitors with Al:Hf=1:5.8.

Al:Hf= 1:8.7_15nm

Fig. 3-8 Trap-assist tunneling (TAT) model fitting of the TiN/HfAlO/TiN capacitors with Al:Hf=1:8.7. The slope at the linear region gives a value of 10t -6 eV.

This unreasonable value indicates that the current transport is not dominated by the TAT mechanism.

(a)

(b)

(c)

(d)

(e)

(f)

Fig. 3-9 (a)-(f) Ohmic conduction fitting of 15nm, 25nm and 35nm-thick TiN/HfAlO/TiN capacitors with Al:Hf=1:8.7 and Al:Hf=1:5.8.

(a)

(b)

(c)

(d)

(e)

(f)

Fig. 3-10 (a)-(f) Fenkel-Poole conduction fitting of 15nm, 25nm and 35nm-thick TiN/HfAlO/TiN capacitors with Al:Hf=1:8.7 and Al:Hf=1:5.8.

Fig. 3- 11 The border trap capacitance in parallel with the ideal capacitance

Fig. 3- 12 Schematic band diagram of the TiN/HfAlO/TiN MIM capacitor biased at top electrode with illustration of tunneling distance and carrier energy coordinates.

(a)

(b)

(c)

(d)

(e)

(f)

Fig. 3- 13 (a)-(f) The space and energy distribution of border trap volume density Nbt (cm-3eV-1) of all samples at forward and reverse bias.

Fig. 3- 14 Capacitance-Voltage characteristics of the TiN/HfAlO/TiN MIM capacitors at various frequencies.

Fig. 3- 15 Schematic band diagram of the TiN/HfAlO/TiN MIM capacitor with high frequency.

Tunneling Distance (nm)

0.0 0.5 1.0 1.5 2.0 2.5

Trap Energy Depth (eV)

0 1 2 3 4

work function=5.3 eV work function=4.3 eV work function=3.3 eV

Fig. 3- 16 The calculated trap energy depth and tunneling distance with different work function: 5.3, 4.3, and 3.3eV

Chapter4 Conclusions

4.1 Summary

HfAlO has attracted much attention because of its high thermal stability, wide band gap, and low leakage current. The higher Hf content is, the higher capacitance density is. However, higher Hf content results in higher leakage current. It is a trade-off between capacitance density and leakage current density. In this thesis, we have two Al : Hf atomic ratios of 1:8.7 and 1:5.8. The dielectric constant is 23 for the HfAlO film with Al:Hf=1:8.7 and 19.5 for the HfAlO film with Al:Hf=1:5.8. The corresponded capacitance densities are 13.6 and 11.3 fF/

μm2, respectively. The capacitance density achieves the ITRS requirement of a RF capacitor

in 2013. The leakage current densities of 15nm-thick HfAlO film with Al:Hf=1:8.7 and Al:Hf=1:5.8 are 1.88×10-8 (A/cm2) and 1.72×10-8 (A/cm2) at 1V, respectively.

It is known that the MIM capacitors with high-k dielectric have strong dependence of capacitance on voltage and frequency. The effect of dielectric thickness, work-function of electrode, and film compositions on the parabolic voltage coefficient of capacitance (VCC-) was investigated in this thesis. The VCC-α in this thesis are 300(ppm/V2) (Al:Hf=1:8.7, 35nm-thick) and 259 (ppm/V2) (Al:Hf=1:5.8, 35nm-thick). Furthermore, a physical model

considering the pre-existing border traps was proposed to account for the VCC-. From the frequency and electrode bias voltage dependences the spatial and energy distribution from TiN surface and from HfAlOconduction band edge could be extracted, respectively. The orders of the magnitude of the extracted border trap volume densities are around 3×1017 (cm-3eV-1), which have positive correlation with the VCC-. Increasing the Al content can reduce the trap density and the VCC-. The limitations of detectable space and energy depth of the physical model are also discussed briefly. To detect the border trap nearer the interface, higher frequency or higher work function electrode are preferred. To detect shallower energy traps, higher work function electrode is preferred.

4.2 Future works

The high dielectric constant material in MIM capacitors was investigated for a long time. The most important challenge for RF capacitors is the voltage nonlinearity. The other mechanisms of the voltage nonlinearity may be existed. In this thesis, we also found that the VCC- has proportional relationship with the capacitance density. It is tradeoff between the VCC- and the capacitance density. Therefore, to find a high dielectric constant material with small VCC properties or combine negative VCC material such as SrTiO3 are both good research directions.

different metals as the electrode might be used.

Reference

Chapter 1

[1-1] P. Zurcher, P. Alluri, P. Chu, A. Duvallet, C. Happ, R. Henderson, J. Mendonca, M. Kim, M Petras, M. Raymond, T. Remmel, D. Roberts, B. Steimle, J. Stipanuk, S. Straub, T.

Sparks, M. Tarabbia, H. Thibieroz, and M. Miller“ Integration of Thin Film MIM Capacitors and Resistors into Copper Metallization based RF-CMOS and Bi-CMOS Technologies,” IEDM pp. 7.3.1-7.3.4, 2000

[1-2] International Technology Roadmap for Semiconductors (ITRS), 2007 edition.

[1-3] V. Dover, R. B. Fleming, R. M. Schneemeyer, L.F. Alers, and G.B Werder, “Advanced dielectrics for gate oxide, DRAM and RF capacitors” IEDM Tech. Dig., issue, 6-9, pp.

823–826, 1998

[1-4] G. B. Alers, V. Dover, R.B, Schneemeyer, L.F., L. Stirling, C. Y. Sung, P. W. Diodato, R. Liu, Y. H. Wong, R. M. Fleming, D. V. Lang, and J. P. Chang “ Advanced amorphous dielectrics for embedded capacitor,” in IEDM Tech. Dig, pp. 797–800., 1999

[1-5] H. S. P. Wong, “Beyond the conventional transistor,” IBM J. Res. Develop., vol. 46, no.

2/3, pp. 133-168, 2002

[1-6] S. B. Chen, C. H. Lai, and A. Chin, “High-density MIM capacitors using AlO and

[1-7] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high performance MIM capacitor using HfO dielectrics” IEEE Electron Device Lett., vol. 23, pp. 514–516, 2002

[1-8] T. Ishikawa, D. Kodama, and Y. Matsui, “High-capacitance Cu/Ta2O5/Cu MIM structure for SoC applicationsfeaturing a single-mask add-on process” IEDM Tech. Dig., pp. 940–944, 2002

[1-9] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D.-L. Kwong, F. D.Foo, and M. B.

Yu, “A high density MIM capacitor (13 fF/um ) using ALD/HfO dielectrics” IEEE Electron Device Lett., vol. 24, pp. 63–65, 2003

[1-10] K. S. Tan, S. Kiriake, M. de Wit, J. W. Fattaruso, C. Y. Tsay, W. E. Matthews, and R. K.

Hester “Error correction techniques for high-performance differential A/D converters”

IEEE J. Solid-State Circuits, vol.25, pp. 1318-1327, 1990

[1-11] H. Hu, S. J. Ding, H. F. Lim, Z. Chunxiang, M. F. Li, S. J. Kim, X. F. Yu, J. H. Chen, Y. F. Yong, J. C. Byung, D. S. H. Chan, S. C. Rustagi, M. B. Yu, C. H. Tung, D.

Anyan, M. Doan, P. D. Foot, A. Chin, and L. K. Dim, “High-Performance MIM Capacitor Using ALD High-K HfO2–Al2O3 Laminate Dielectrics” IEEE Electron Device Lett., vol. 24, No. 12, pp. 15.6.1-15.6.4, 2003

[1-12] Y. K. Chioua, C. H. Changa, and C. C. Wanga, “Effect of Al incorporation in the thermal stability of atomic-layer-deposited HfO2 for gate dielectric applications” J.

Electron chem. Soc., Vol. 154, Issue 4, pp. G99-G102, 2007

[1-13] K. Takeda, R. Yamada, T. Imai, T. Fujiwara, T. Hashimoto, and T. Ando,

“DC-stress-induced Degradation of Analog Characteristics in HfxAl(1-x)O MIM Capacitors” IEDM , 2006

[1-14] C. Besset, S. Bruyère, S. Blonkowski, S. Crémer and E. Vincent, “MIM capacitance variation under electrical stress” Microelectronics Reliability, vol. 43, pp. 1227-1240, 2003

[1-15] J. A. Babcock, S. G. Balstr, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz, and B.

E. Kareh, “Analog Characteristics of Metal-Insulator-Metal Capacitors Using PECVD Nitride Dielectrics” IEEE electron Device Lett. vol, 22, pp. 230-232, 2001

[1-16] S. Blonkowski, M. Regache, and A. Halimaoui, “Investigate and modeling of the electrical properties of metal-oxide-metal structures formed from chemical vapor deposited Ta2O5 films” J. appl. Phys. vol. 90, pp.1501-1508, 2001

[1-17] S. Becu, S. Cremer, and J.-L Autran,” Microscopic model for dielectric constant in metal-insulator-metal capacitors with high-permittivity metallic oxides” Appl. Phys.

Lett. vol. 88, pp.052902, 2006

Chapter 2

[2- 1] W. H. Wu, B. Y. Tsui, and M. C. Chen., “Electrical Characterization of Charge Trapping and De-trapping in Hf-Based High-k Gate Dielectrics” Electronics Engineering, National Chiao Tung University, 2006

[2- 2] K. J. Yang and C. Hu, “MOS capacitance measurements for high-leakage thin dielectrics” IEEE Trans. on Electron. vol. 46, pp. 1500-1501, 1999

[2- 3] A. Nara, N. Yasuda, H. Satake, and A. Toriumi, “Applicability limits of the two-frequency capacitance measurement technique for the thickness extraction of ultrathin gate oxide” IEEE Trans., vol. 15, pp.209-213, 2002

[2- 4] H. T. Lue, C. Y. Liu, and T. Y. Tseng, “An improved two-frequency method of capacitance measurement for SrTiO3 as high-k gate dielectric” IEEE Electron Device Lett., vol. 23, pp. 553-555, 2002

[2- 5] Z. Luo and T. P. Ma, “A new method to extract EOT of ultrathin gate dielectric with high leakage current” IEEE Electron Device Letters, vol.25, pp. 655-657, 2004

[2- 6] D. M. Fleetwood, “Border traps in MOS devices,” IEEE Trans. Nucl. Sci., 117 vol. 39, no. 2, pp. 269-271, Apr. 1992

[2- 7] D. M. Fleetwood, P. S. Winokur, R. A. Reber, T. L. Meisenhemer, J. R. Schwank, M. R.

Shaneyfelt, and L. C. Riewe, “Effects of oxide traps, interface traps and border traps on metal-oxide-semiconductor devices,” J. Appl. Phys., vol. 73, pp. 5058-5074, 1993

Chapter 3

[3-1] W. J. Zhu, T. Tamagawa, M. Gibson, T. Furukawa, and T. P. Ma, “Effect of Al inclusion in HfO2 on the physical and electrical properties of the dielectrics,” IEEE Electron Device Letter, vol. 23, pp. 649–651, 2002

[3-2] K. Toriik, K. Shiraishi, S. Miyazaki, K. Yamabe, M. Boero, T. Chikyow, K. Yamadak, H.

Kitajim, and T. Arikado, “Physical model of BTI, TDD Band SILC in HfO2-based high-k gate dielectrics,” IEDM Tech. Dig, pp. 129–132, 2004

[3- 3] L. I. Maissel and R. Glang, Handbook of thin film technology, McGraw-Hill, Ch. 14 pp.

25

[3-4] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirmecker, P. Steinmann, R. Jumpertz, and B.

E. Kareh, “Analog characteristics of metal-insulator-metal capacitors using PECVD nitride dielectrics,” IEEE Electron Device Lett., vol. 22, pp. 230-232, 2001

[3-5] H. Hu, C. Zhu, and Y. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B. J. Cho, W. K. Choi and N.

Yakovlev, “Physical and electrical characterization of HfO2 metal–insulator–metal capacitors for Si analog circuit applications” J. appl. Phys., vol. 94, pp. 552-557, 2003 [3-6 ] W. H. Wu, B. Y. Tsui, and M. C. Chen., “Electrical Characterization of Charge Trapping

and De- trapping in Hf-Based High-k Gate Dielectrics” Electronics Engineering, National Chiao Tung University, PHD., 2006

[3-7] J. Robertson, “Band offsets of wide-band-gap oxides and implications for future

electronic devices,” J. Vac. Sci. Technol., vol. 18, pp. 1785-1791, May/Jun 2000

[3-8] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. L. Kwong, P. D. Foo, and M. B.

Yu, "A high density MIM capacitor (13fF/um2) using ALD HfO2 dielectrics", IEEE Electron Device Lett. , vol. 24, pp. 63, 2003

[3-9] A. K. Roy, C. Hu, M. Racanelli, C. A. Compton, P. Kempf, G. Jolly, P. N. Sherman, J.

Zheng , Z. Zhang, and A. Yin “High density metal insulator metal capacitors using PECVD nitride for mixed signal and RF circuits, ” IEEE Int. Interconnect Tech., Conf, pp. 245-247, 1999

[3-10] C. Zhu, H. Hu, X.Yu, S.J. Kim, A. Chin, M.F. Li, B. J. Cho, and D.L. Kwong, “Voltage and temperature dependence of capacitance of high-K HfO2 MIM capacitors: a unified understanding and prediction,” IEEE IEDM Tech. Dig. Int., pp. 879-882, 2003

[3-11] ”International Technology Roadmap for Semiconductors,” ITRS, 2007 edition.

[3-12] T. P. Juan, S. M. Chen, and J. Y. M. Lee, “Temperature dependence of the current conduction mechanisms in ferroelectric Pb(Zr0.53,Ti0.47)O3 thin film” J. Appl. Phys., vol. 95, issue 6, pp. 3120-3125, 2004

[3-13] C. Chaneliere, J. L. Autran and R. A. B. Devine, “Conduction mechanisms in Ta2O5/SiO2 and Ta2O5/i3N4 stacked structures on Si,” J. Appl. Phys, vol. 86, issue 1, pp. 480-486, 1999

[3-14] M. P. Houng, Y. H. Wang, and W. J. Chang, “Currrent transport mechanism in trapped

oxides: A generalized trap-assisted tunneling model,” J. Appl. Phys., vol. 86, pp1488-1491, 1999

[3- 15] S. Ezhilvalavan and T. Y. Tseng, “Conduction mechanism in amorphous and crystalline Ta2O5 thin films,” J. Appl. Phys., vol. 83, pp.4797-4901, 1998

[3- 16] C. Lai, A. Chin, H. Kao, K. Chen, M. Hong, J. Kwo and C. Chi, “Very low voltage SiO2/HfON/HfAlO/TaN memory with fast speed and good retention,” IEEE VLSI Symp. Tech. Dig., pp. 54-55, 2006

[3- 17] C.H. Cheng, H.C. Pan, H.J. Yang, C.N. Hsiao, C.P. Chou, S.P. McAlister, and A. Chin,

“Improved High-Temperature Leakage in High-Density MIM Capacitors by using a TiLaO Dielectric and in Ir electrode,” IEEE Electron Device Lett., vol. 28, pp.

1095-1097, 2007

[3- 18] V. Mikhelashvili, R. Brener, O. Kreinin, B. Meyler, J. Shneider, and G. Eisenstein,

“Characteristics of metal-insulator-semiconductor capacitors based on high-k HfAlO dielectric films obtained by low-temperature electron-beam gun evaporation,” Appl.

Phys. Lett., vol. 85, pp. 1346-1348, 2004

[3- 19] H. Y. Yu, M. F. Li, B. J. Cho, C. C. Yeo, M. S. Joo, D. L. Kwong, J. S. Pan, C. H.

Ang, J. Z. Zheng, and S. Ramanathan, ” Energy gap and band alignment for (HfO2)x-( Al2O3)1-x on (100) Si,” Appl. Phys. Lett., vol. 81, pp. 376-378, 2002

[3- 2020] F. Mondon, and S. Blonkowski, ”Electrical characterization and reliability of HfO2

and Al2O3-HfO2 MIM capacitors,” Microelectronics Reliability vol.43, pp. 1259-1266, 2003

[3-21] M. H. Lim, and B. Y. Tsui, “A study on the Properties of NiSi/High-K Film”

Electronics Engineering, National Chiao Tung University, 2006

相關文件