• 沒有找到結果。

Chapter 6 All-Digital Synchronous Mirror Delay Design

6.3 The Proposed ADSMD Design

Fig. 6.2(a) illustrates the architecture of the proposed ADSMD which consists of several major functional blocks: a dummy delay line (DDL), a FDL, a MCC, a BDL, a FTDL, a phase detector, and a timing controller, and the circuit of EMDC is shown in Fig. 6.2(b) [52]. As compared with the conventional SMD, a DDL of the proposed delay-matching structure SMD contains an EMDC and a FTDL to compensate the delay of EMDC and FTDL. As a result, the total delay time is Td1 + (Td1 + Td2 +

Td3 + Td4) + (Tck - Td1 - Td2 - Td3 - Td4) + Td2 + (Tck - Td1 - Td2 - Td3 - Td4) + Td3 + Td4 = 2Tck. The locking procedure is divided into coarse and fine locking. The

coarse locking takes two clock cycles as the same as the conventional design, and the maximum phase error is the delay resolution of FDL and BDL. The remaining phase error is further reduced by FTDL controlled by 3-bit fine-tuning control code (FTC).

In the fine locking, the FTC is changed every two clock cycles by the timing controller based on UP/DN from phase detector to control the delay of FTDL to align

Driving Buffer

Fig. 6.3: Block diagram and equivalent circuit of DCV.

- 80 -

phase between external clock (EXT_CLK) and internal clock (INT_CLK). As a result, the entire locking procedure takes 10 clock cycles (2 + 2 x 4).

Typically, the delay resolution of FDL is one AND gate delay which is about several hundred picoseconds depending on the technology. In order to achieve high delay resolution, the proposed FTDL employs a digitally-controlled varactor (DCV)

IB_OUT

Fig. 6.4: Timing waveform (a) without blocking scheme (b) with blocking scheme.

- 81 -

whose gate capacitance can be changed slightly by the FTC to change the delay of FTDL under different output loading of the driving buffer as shown in Fig. 6.3 [34].

As a result, the overall delay resolution of SMD can be improved from several hundred picoseconds to ten picoseconds.

To increase the input duty cycle range, the proposed SMD utilizes the EMDC to detect the level changing of the outputs of the successive delay cells in FDL [49].

However, based on the system requirements, the length of the FDL and BDL may need to increase to achieve the wide operating frequency range. But, it will induce more than one output of the EMDCs at logic low as the high-frequency clock propagates through the long FDL, implying SMD operation is unstable as shown in Fig. 6.4(a). The proposed blocking edge-trigger scheme uses the blocking signal (BLK), which is set to low level at the second rising edge of IB_OUT to block the clock propagation in FDL to avoid the signal conflict in MCC and ensure the SMD functionality as shown in Fig. 6.4(b).

Fig. 6.5: Microphotography of SMD test chip.

- 82 -

6.4 Experimental Results

A test chip of the proposed SMD has been fabricated in 0.18µm CMOS process, where chip microphotography is shown in Fig. 6.5. The proposed design is verified by post-layout simulation using HSPICE. Fig. 6.6(a) shows the entire locking process takes ten clock cycles, and the total propagation delay of SMD is adjusted by the FTC every two clock cycles, making the phase error reduced to 15ps at 400MHz. Table 6.2 lists the verification results of phase error under different PVT conditions and input

400MHz, Duty Cycle: 80%

200MHz, Duty Cycle: 20%

200MHz, Duty Cycle: 80%

10 CLOCK Cycles

Phase Error: 15ps

(a)

400MHz, Duty Cycle: 20%

400MHz, Duty Cycle: 80%

200MHz, Duty Cycle: 20%

200MHz, Duty Cycle: 80%

(b)

Fig. 6.6: (a) Timing diagram of the proposed SMD (b) Acceptable Input duty cycle under different frequencies.

- 83 -

clock frequencies. The proposed SMD can accept wide input duty cycle from 20% to 80% at different input clock frequencies as shown in Fig. 6.6(b). The performance characteristics of the proposed SMD are summarized in Table 6.3.

6.5 Summary

The performance and application scope of the conventional SMD are limited by

the low accuracy phase alignment and the narrow-pulse clock demand. In this chapter, three important design concepts of the proposed SMD are proposed: a high-resolution delay line, a delay-matching structure, and a blocking edge-trigger scheme. The

Table 6.3: ADSMD Performance Summary

Process 0.18µm CMOS

Supply Voltage (V) 1.8

Operation Range (MHz) 200 ~ 400 Input Duty Cycle Range (%) 20 ~ 80

Delay Resolution (ps) 10

Phase Error (ps) 18

Lock Time (clock cycles) 10 Power Consumption (mW) 8.7 @400MHz

Area (mm2) 0.08

Table 6.2: Phase Error Under Different PVT Conditions

SS, 1.62V, 125° TT, 1.8V, 25° FF, 1.98V, -40°

200MHz 6ps 11ps 16ps 400MHz 16ps 15ps 18ps

- 84 -

proposed high-resolution delay line and delay-matching structure reduce the phase error between the external and internal clock, and the proposed blocking edge-trigger scheme extends the input duty cycle range without delay line length limitation. As a result, the proposed SMD can achieve wide duty cycle range and keep small static phase error compared with conventional designs, making it suitable for the clock synchronization in SoC applications.

- 85 -

Chapter 7

Conclusions and Future Works

7.1 Conclusions

In this dissertation, a systematic all-digital design approach to implement various high performance and low power clock generators, including ADPLL, ADSSCG, ADDLL, and ADSMD, for SoC applications has been presented. The proposed DCO which is the kernel module of all-digital clock generators employs a cascadable structure with coarse and fine-tuning stage to achieve high resolution and wide frequency range at the same time. The coarse-tuning stage utilizes a segmental delay line (SDL) to reduce redundant power, and the proposed hysteresis delay cell (HDC) can reduce the circuit complexity and loading of the fine-tuning stage to further lower down the power consumption.

For the power management system application, the proposed PLL employs a novel 2-level flash TDC to reduce lock-in time with low hardware cost. Besides, in the consumer electronics, microprocessor (µP) based systems, and data transmission circuits, how to reduce the electromagnetic interference (EMI) effect is an important design topic. Based on the proposed RDTM, the spreading ratio of the proposed ADSSCG can be specified flexibly by application demands while keeping the phase tracking capability. With the proposed low-power DCO and auto-adjustment

- 86 -

algorithm, the overall power consumption can be saved while keeping monotonic delay characteristic.

Double data rate (DDR) memories have been widely used for high-performance system in modern SoC designs to meet required data bandwidth. Because DDR memory controller needs specified clock and control signal to ensure the functionality and performance of data accesses, a tunable phase shift scheme based on all-digital delay locked loop (ADDLL) and digital control phase shifter (DCPS) has been proposed in this work to solve the delay mismatching issue. In addition, memory design utilizes the synchronous mirror delay (SMD) to eliminate the clock skew by wire delay mismatching. The proposed all-digital SMD (ADSMD) uses edge-trigger mirror delay cells to enlarge the input duty cycle range and fine-tuning delay lines with high-resolution delay cell to reduce the static phase error.

The proposed all-digital clock generators not only use the proposed DCO/delay cell and several design techniques to enhance performance and reduce power consumption, but also can be realized by standard cells in standard CMOS processes, making it easily portable to different processes as a soft intellectual property (IP). As a result, the proposed all-digital clock generators are very suitable for SoC applications as well as system-level integration.

7.2 Future Works

- 87 -

The proposed DCO employs a cascadable structure with coarse and fine-tuning stage to achieve high resolution and wide frequency range at the same time. However, this structure has several drawbacks. First, the controllable range of each stage should be larger than the delay step of the previous stage to ensure it does not have any dead zone larger than the LSB resolution of DCO. Thus, it needs over design to meet this design constraint, leading to increase power and area. Second, the non-monotonic problem will happen when DCO control code switch cross over different tuning stages. The non-monotonic problem may induce stability issue and large jitter.

Recently, many researchers proposed the phase interpolation approach to implement a monotonic DCO design [53]-[56]. However, the phase interpolator is not only hard to obtain precise timing, but also has large power consumption. As a result, a new DCO structure should be proposed to overcome these design issues.

Furthermore, as the operating frequency of clock generator increases, we should pay more attention to several design considerations to ensure the performance and functionality. First, because the tolerance of the duty cycle variation becomes small, the clock generator should embed a duty cycle corrector (DCC) to maintain the duty cycle of clock generator output. Second, in order to achieve high operating frequency, the clock generator may utilize advanced process to implement the high-performance design. It will encounter many non-ideal design issues, such as large leakage current and heavy wire loading as chip area increased. Thus, how to design a nano-meter clock generator will be a great challenge. Third, because the design of SoC becomes more complex, the clock generator needs high immunity to PVT variations to ensure the performance and functionality. In the previous work, it only proposed a compensated solution for supply voltage variation [53]. To have more robust clock generator for high-frequency SoC applications, how to increase the immunity to PVT

- 88 -

variations is an important research topic in the future. In addition to these design issues, the low-power design techniques, such as voltage-domain partition and dynamic voltage scaling, can be applied in the all-digital clock generator to further reduce power consumption.

As IC technology grows up rapidly, the computing systems and high-speed serial links require very high communications bandwidth. Currently, the data rate of serial links is higher than 5Gb/s [5], [57]. Besides, only the data signal is transmitted to save cost, and the receiver must be capable of recovering the clock and data from the received serial-data stream in the serial-data-transmission systems. Thus, the high data rate clock and data recoveries (CDR’s) which can recovery received data and clock is very important and essential for such applications.

Many high-speed CDR’s that based on PLL/DLL architecture have been proposed [58]-[60]. However, how to design and implement a high-speed CDR using all-digital approach is still a challenge. Thus, our future research will be focused on the high-speed and all-digital CDR design.

- 89 -

References

[1] T. Olsson and P. Nilsson, “A digitally controlled PLL for Soc applications,”

IEEE J. Solid-State Circuits, vol. 39, no. 5, pp. 751-760, May. 2004.

[2] H. -T. Ahn and David J. Allstot, “A low-jitter 1.9-V CMOS PLL for UltraSPARC microprocessor pplications,” IEEE J. Solid-State Circuits, vol.

35, no. 3, pp. 450-454, Mar. 2000.

[3] T. Fischer, J. Desai, B. Doyle, S. Naffziger, and B. Patella, “A 90-nm variable frequency clock system for a power-managed Itanium architecture processor,”

IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 218-228, Jan. 2006.

[4] N. Kurd, P. Mosalikanti, M. Neidengard, J. Douglas, and R. Kumar, “Next generation Intel® Core™ micro-architecture (Nehalem) clocking,” IEEE J.

Solid-State Circuits, vol. 44, no. 4, pp. 1121-1129, Apr. 2009.

[5] Serial ATA III Electrical Specification Revision 1.0, May 2009.

[6] K. B. Hardin, J. T. Fessler, and D. R. Bush, “Spread-spectrum clock generation for the reduction of radiated emissions,” in Proc. IEEE Int. Symp.

Electromagnetic Compatibility, pp. 227–231, 1994.

[7] JEDEC, “DDR2 SDRAM Specification,” JESD79-2E, Apr. 2008.

[8] C. -C. Chung and C. -Y. Lee, “A new DLL-based approach for all-digital multiphase clock generation,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp.

469-475, Mar. 2004.

[9] T. Saeki et al., “A 2.5-ns clock access, 250-MHz, 256-Mb SDRAM with synchronous mirror delay,” IEEE J. Solid-State Circuits, vol. 31, no. 12, pp.

1656-1668, Dec. 1996.

[10] J. Dunning, G. Garcia, J. Lundberg, and E. Nuckolls, “An all-digital phase-locked loop with 50-cycle lock time suitable for high-performance microprocessors,” IEEE J. Solid-State Circuits, vol. 30, pp. 412-422, Apr. 1995.

[11] C. -C. Chung and C. -Y. Lee, “An all digital phase-locked loop for high-speed clock generation,” IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 347-351, Feb.

2003.

- 90 -

[12] D. Sheng, C. -C. Chung and C. -Y. Lee, “An all-digital phase-locked loop with high-resolution for SoC applications,” IEEE VLSI-DAT, pp. 207-210, Apr. 2006.

[13] D. Sheng, C. -C. Chung and C. -Y. Lee, “A fast-lock-in ADPLL with high-resolution and low-power DCO for SoC applications,” IEEE Asia Pacific

Conf. on Circuits and Systems, pp. 105-108, Dec. 2006.

[14] R. B. Staszewski, D. Leipold, K. Muhammad, and P. T. Balsara, “All-digital PLL with ultra fast settling,” IEEE Trans. Circuits and Syst. II, Express Briefs, vol. 54, no. 2, pp. 181-185, Jan. 2007.

[15] M. Maymandi-Nejad and M. Sachdev, “A monotonic digitally controlled delay element,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2212-2219, Nov.

2005.

[16] R. B. Staszewski, D. Leipold, K. Muhammad, and P. T. Balsara, “Digitally controlled oscillator (DCO)-based architecture for RF frequency synthesis in a deep-submicrometer CMOS process,” IEEE Trans. Circuits Syst. II, Analog

Digit. Signal Process, vol. 50, no. 11, pp. 815-828, Nov. 2003.

[17] E. Roth, M. Thalmann, N. Felber, and W. Fichtner, “A delay-line based DCO for multimedia applications using digital standard cells only,” IEEE

International Solid-State Circuits Conference, 2003, Digest of Technical Papers,

pp. 432-433, Feb. 2003.

[18] P. -L. Chen, C. -C. Chung and C. -Y. Lee, “A portable digitally controlled oscillator using novel varactors,” IEEE Trans. Circuits and Syst. II, Express Briefs, vol. 52, no. 5, pp. 233-237, May 2005.

[19] J. M. Rabaey, Digital Integrated Circuits—A Design Perspective, 2nd ed. NJ:

Prentice-Hall, 2003.

[20] I. Hwang, S. Lee, and S. Kim, “A digitally controlled phase-locked loop with fast locking scheme for clock synthesis applications,” IEEE International

Solid-State Circuits Conference, 2000, Digest of Technical Papers, pp.168-169,

Feb. 2000.

[21] J. Lee and B. Kim, “A low-noise fast-lock phase-locked loop with adaptive bandwidth control,” IEEE J. Solid-State Circuits, vol. 35, no. 8, pp. 1137-1145, Aug. 2000.

- 91 -

[22] T. Watanabe and S. Yamauchi, “An all-digital PLL for frequency multiplication by 4 to 1022 with seven-cycle lock time,” IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 198-204, Feb. 2003.

[23] C. T. Gray, W. Liu, W. A. M. Van Noije, T. A. Hughes, Jr., and R. K. Cavin, III,

“A sampling technique and its CMOS implementation with 1 Gb/s bandwidth and 25 ps resolution,” IEEE J. Solid-State Circuits, vol. 29, no. 3, pp. 340-349, Mar. 1994.

[24] P. M. Levine and G. W. Roberts, “High-resolution flash time-to-digital conversion and calibration for system-on-chip testing,” IEE Proc. –Computer, Digit. Tech., vol. 152, No. 3, pp. 415-426, May 2005.

[25] P. Dudek, S. Szczepan´ski, and J. V. Hatfield, “A high-resolution CMOS time-to-digital converter utilizing a Vernier delay line,” IEEE J. Solid-State

Circuits, vol. 35, no. 2, pp. 240-247, Feb. 2000.

[26] T. Yoshikawa, T. Hirata, T. Ebuchi, T. Iwata, Y. Arima, and H. Yamauchi, “An over-1-Gb/s transceiver core for integration into large system-on-chips for consumer electronics,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol.

16, no. 9, pp. 1187-1198, Sep. 2008.

[27] K. B. Hardin, J. T. Fessler, and D. R. Bush, “A study of the interference potential of spread-spectrum clock generation techniques,” IEEE Int. Symp.

Electromagnetic Compatibility, pp. 624-639, 1995.

[28] H. -H. Chang, I. -H. Hua, and S. -I. Liu, “A spread-spectrum clock generator with triangular modulation,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp.

673-677, Apr. 2003.

[29] Y. -B. Hsieh and Y. -H. Kao, “A fully integrated spread-spectrum clock generator by using direct VCO modulation,” IEEE Trans. Circuits and Syst. I,

Reg. Papers, vol. 55, no. 7, pp. 1845-1853, Aug. 2008.

[30] H. R. Lee, O. Kim, G. Ahn, and D. K. Jeong, “A low-jitter 5000 ppm spread spectrum clock generator for multi-channel SATA transceiver in 0.18 µm CMOS,” IEEE International Solid-State Circuits Conference, 2005, Digest of

Technical Papers, pp. 162–163, Feb. 2005.

[31] Y. -B Hsieh and Y. -H Kao, “A spread-spectrum clock generator using fractional-N PLL with an extended range ΣΔ modulator,” IEICE Trans.

Electron, vol. E89-C, no.6, pp.581-857, Jun. 2006.

- 92 -

[32] S. Damphousse, K. Ouici, A. Rizki, and M. Mallinson, “All digital spread spectrum clock generator for EMI reduction,” IEEE J. Solid-State Circuits, vol.

42, no. 1, pp. 145-150, Jan. 2007.

[33] D. Sheng, C. -C. Chung and C. -Y. Lee, “An all digital spread spectrum clock generator with programmable spread ratio for SoC applications,” IEEE Asia

Pacific Conf. on Circuits and Systems, pp. 850-853, Nov. 2008.

[34] D. Sheng, C. -C. Chung and C. -Y. Lee, “An ultra-low-power and portable digitally controlled oscillator for SoC applications,” IEEE Trans. Circuits and

Syst. II, Exp. Briefs, vol. 54, no. 11, pp. 954-958, Nov. 2007.

[35] Encoding Parameters of Digital Television for Studios. ITU-R Recom- mendation BT.601, Recommendations of the ITU, Radiocommunication Sector.

[36] T. Yoshimura, Y. Nakase, N. Watanabe, Y. Morooka, Y. Matsuda, M.

Kumanoya, and H. Hamano, “A delay-locked loop and 90-degree phase shifter for 100 Mbps double data rate memories,” Symposium on VLSI Circuits Dig.

Tech. Papers, pp. 66–67, June 1998.

[37] C. -C Chung, P. -L, C. -Y Lee, “An all-digital delay-locked loop for DDR SDRAM controller applications,” IEEE VLSI-DAT, pp. 199-202, Apr. 2006.

[38] J. -H Bae, J. -H Seo, H. -S Yeo, J. -W Kim, J. -Y Sim, and H. -J Park, “An all-digital 90-degree phase-shift DLL with loop-embedded DCC for 1.6Gbps DDR interface,” CICC Dig. Tech. Papers, pp. 373–376, September 2007.

[39] K. -I. Oh, L. -S. Kim, K. -I. Park, Y. -H. Jun and K. Kim, “Low-jitter multi-phase digital DLL with closest edge selection scheme for DDR memory interface,” Electron. Lett., vol. 44, no. 19, pp. 1121–1123, Sep. 2008.

[40] D. Sheng, C. -C. Chung and C. -Y. Lee, “Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications,”

IEICE Electronics Express, vol. 7, no. 9, pp. 634-639, May 2010.

[41] C. -T. Wu, W. Wang, I. -C. Wey, and A. -Y Wu, “A scalable DCO design for portable ADPLL designs,” IEEE

International Symposium on Circuits and Systems, pp. 5449–5452, May 2005.

[42] D. Shim, D. -Y. Lee, S. Jung, C. -H. Kim, and W. Kim, “An analog synchronous mirror delay for high-speed DRAM application,” IEEE J. Solid-State Circuits, vol. 34, no. 4, pp. 484-493, Apr. 1999.

- 93 -

[43] J. -J. Kim, S. -B. Lee, T. -S. Jung, C. -H. Kim, S. -I. Cho, and B. Kim, “A low-jitter mixed-mode DLL for high-speed DRAM applications,” IEEE J.

Solid-State Circuits, vol. 35, no. 10, pp. 1430-1436, Oct. 2000.

[44] T. Seaeki, H. Nakamura, and J. Shimizu, “A 10 ps jitter 2 clock cycle lock time CMOS digital clock generator based on an interleaved synchronous mirror delay scheme,” IEEE Symposium on VLSI Circuits, Digest of Technical Papers, pp.

109–110, 1997.

[45] K. Sung, B. -D. Yang, and L. -S. Kim, “Low power clock generator based on an area-reduced interleaved synchronous mirror delay scheme,” IEEE International.

Symposium Circuits and Systems, pp. 671–674, May 2002.

[46] T. Saeli, K. Minami, H. Yoshida, and H. Suzuki, “A direct-skew-detect synchronous mirror delay for application-specific integrated circuits,” IEEE J.

Solid-State Circuits, vol. 34, no. 3, pp. 372–379, Mar. 1999.

[47] K. Sung, and L. -S. Kim, “A high-resolution synchronous mirror delay using successive approximation register,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1997-2004, Nov. 2004.

[48] C. -L. Hung., C. -L. Wu, and K. -H. Cheng, “Arbitrary duty cycle synchronous mirror delay circuits design,” IEEE Asian Solid-State Circuits Conference, pp.

283-286, Nov. 2006.

[49] K. -H. Cheng, C. -W. Su and S. -W. Lu, “Wide-range synchronous mirror delay with arbitrary input duty cycle,” Electronics Letters, vol. 44, no. 11, pp. 665-667, May 2008.

[50] Y. -M. Wang and J. -S. Wang, “A low-power half-delay-line fast skew-compensation circuit,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp.

906-918, Jun. 2004.

[51] J. -S. Wang,

C. -Y. Cheng, J. -C. Liu, Y. -C. Liu, and Y. -M. Wang, “A

duty-cycle-distortion-tolerant half-delay-line low-power fast-lock-in all-digital delay-locked loop,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 1036-1047, May 2010.

[52] D. Sheng, C. -C. Chung, and C. -Y. Lee, “Wide duty cycle range synchronous mirror delay designs,” Electronics Letters, vol. 46, no. 5, pp. 338-340, Mar.

2010.

- 94 -

[53] B. -M. Moon, Y. -J. Park and D. -K. Jeong, “Monotonic wide-range digitally controlled oscillator compensated for supply voltage variation,” IEEE Trans.

Circuits and Syst. II, Exp. Briefs, vol. 55, no. 10, pp. 1036-1040, Oct. 2008.

[54] K. -H. Choi, J. -B. Shin, J. -Y. Sim, and H. -J. Park, “An interpolating digitally controlled oscillator for a wide-range all-digital PLL,” IEEE Trans. Circuits and

Syst. I, Reg. Papers, vol. 56, no. 9, pp. 2055-2063, Sep. 2009.

[55] M. Combes, K. Dioury, and A. Greiner, “A portable clock multiplier generator using digital CMOS standard cells,” IEEE J. Solid-State Circuits, vol. 31, no. 7, pp. 958–965, Jul. 1996.

[56] B. W. Garlepp, K. S. Donnelly, J. Kim, P. S. Chau, J. L. Zerbe, C. Huang, C. V.

Tran, C. L. Portmann, D. Stark, Y. -F. Chan, T. H. Lee, and M. A. Horowitz, “A portable digital DLL for high-speed CMOS interface circuits,” IEEE J.

Solid-State Circuits, vol. 34, no. 5, pp. 632–644, May 1999.

[57] Universal Serial Bus Specification Revision 3.0, Nov. 2008.

[58] P. K. Hanumolu, G. -Y. Wei, and U. -K. Moon, “A wide-tracking range clock and data recovery circuit,” IEEE J. Solid State Circuits, vol. 43, no. 2, pp.

425-439, Feb. 2008.

[59] Y. -S. Seo, J. -W. Lee, H. -J. Kim, C. Yoo, J. -J. Lee, and C. -S. J, “A 5-Gbit/s clock- and data-recovery circuit with 1/8-rate linear phase detector in 0.18-um CMOS technology,” IEEE Trans. Circuits and Syst. II, Exp. Briefs, vol. 56, no.

1, pp. 6-10, Jan. 2009.

[60] T. Toifl, C. Menolfi, P. Buchmann, M. Kossel, T. Morf, R. Reutmann, M. Ruegg, M. Schmatz, and J. Weiss, “0.94 ps-rms-jitter 0.016 mm 2.5 GHz multi-phase generator PLL with 360° digitally programmable phase shift for 10 Gb/s serial links,” IEEE International Solid-State Circuits Conference, Digest of Technical

Papers, pp. 410-411, Feb. 2005.