• 沒有找到結果。

Chapter 2 General Backgrounds and Literatures Review

2.4 Reliability Characteristics of MIM Capacitors

2.4-1 A Brief History on Reliability Improvement of Silicon-Related Device Prior to 1985, the reliability improvements on silicon devices had already begun, and those efforts had already passed through two eras [106]. In the first era of the improvement of silicon reliability, from 1975 to 1980, the introduction of new VLSI materials was at the forefront. Everyone learned about material properties, such as Si, Al, and SiO2, and their various interactions. In the second era, from 1980 to 1985, the major reliability problems for silicon technologies were identified: mobile ions, electro-migration, stress migration, time-dependent dielectric breakdown (TDDB), cracked die, broken bond wires, purple plague, and soft errors.

In the third era, from 1985 to 1990, the reliability physics was the focus on the silicon folks. The researchers developed various degradation models for the previously identified mechanisms and they also derived acceleration factors for the special environmental stresses of temperature cycling and corrosion. In the fourth era, from 1990 to 1995, the silicon folks adopted a new phrase, building-in reliability. It was a period of reliability engineering

emphasized on the wafer-level reliability. In the fifth era, from 1995 to 2000, the silicon folks complete their 20-year cycle by merging the metrics of reliability and quality with focus on a major defect-reduction effort. From 2000 to 2005, the silicon folks restart their cycle by reverting back to the first era, with the introduction of new materials in ULSI technology. The main work is on new copper metallization, low-k interlayer dielectrics, high-k gate dielectrics, new metal gate materials, and getting feature smaller than 100 nm.

2.4-2 Reliability Issues on MIM Capacitors

In the case of MIM capacitors, the ultimate breakdown strength of a dielectric material and their time-dependent dielectric breakdown (TDDB) characteristics have been attracted great reliability interest [107]. During electrical breakdown measurement, the ramping voltage (electrical field) is used to record an abrupt rise in leakage current through the dielectric of MIM capacitor. A rapid rise in current density could result in the severe localized joule-heating in the dielectric, the localized melting of the dielectric, and the melt-filament formation to short the dielectric between two electrodes.

TDDB properties depend on the intrinsic dielectric materials, the processing method, and the electrode materials. The MIM capacitor with thin dielectric film usually exhibits higher failure rate, thus it is a permanent challenge to improve of its TDDB properties. TDDB measurements are normally done by recording the times-to-failure when a collection of identically processed capacitors are stored under a constant electric field which is less than breakdown strength of the dielectric. The time-to-failure statistics are assumed to follow a Weibull distribution with appropriate “Weibull area scaling” for capacitors that differ only by their area [108]. The time-dependence of the TDDB process is derived from the fact that a critical amount of dielectric damage must be accumulated before the electrical breakdown and the thermal breakdown occur. From a microscopic point of view of the TDDB process, molecular bonds tend to break with time during TDDB testing, eventually reaching a

localized density of broken bonds sufficiently high to cause a conductive percolation-path to be formed between two electrodes. These conductive paths can result in electrical/thermal-breakdown of the dielectric.

Since high-k materials are aggressively developed to replace SiO2 as dielectrics film of MIM capacitors, it is important to know whether high-k dielectrics provide superior reliability.

In recent years, a number of studies have demonstrated the reliability characteristics of backend high-k MIM capacitors [29], [109]-[115]. However, most of them focus on the silicon nitride (SiNx) MIM capacitors [109]-[112], and other minorities investigate the reliability issues of Ta2O5, Nb2O5, Hf-based, and Zr-based MIM capacitors [29], [113]-[115].

As regard to La-based high-k MIM capacitors, there is no empirical research of statistical TDDB properties up to this point.

ΔφB

φB Φm−χ

EF Metal

xm x0

qEX

ψ(x)

0 Conduction band edge (E=0)

Image potential

Conduction band edge (E>0)

x

Fig. 2-1. Energy-band diagram between a metal surface and a vacuum. Conduction band edge means the vacuum energy level which is zero in electron energy. x0 is introduced due to the singular point of image potential at x=0 [39].

Trap site 1 x0 Φm

Φm(x)

Trap site 2 Energy

x Thermionic conduction (P-F) ΔΦPFPFEOX1/2

Fig. 2-2. Thermionic conduction (Poole-Frenkel conduction) [39].

z z

Image charge

Escaping electron

Electrode

(a) Schottky effect

Trap charge

Escaping electron z

(b) Poole Frenkel effect

Fig. 2-3. Restoring force on escaping electron. (a) The Schottky effect. (b) The Poole-Frenkel effect [39].

E

C

E

t

F

0

n

0

(a) Thermal equilibrium

E

C

E

t

F n

(b) Quasi thermal equilibrium

Fig. 2-4. Thermal and quasi-thermal equilibrium (steady-state) of electrons in conduction band Ec and trap level Et. F denotes quasi-Fermi level and the subscript 0 means the thermal equilibrium [83]. The equilibrium states can be achieved by low injection and low internal emission.

Localization states Mobility gap

Band Band

Energy E

C

E

B

Mo b il it y

Fig. 2-5. Mobility of electrons as a function of energy showing mobility gap (amorphous stste) [84]-[86].

Cathode Region

Anode Region

Metal Cathode

Metal Anode Virtual

Cathode

0 λm s

Fig. 2-6. Energy diagram illustrating virtual cathode, cathode region, and anode region under space-charge-limited conduction [83]. λm denotes the position of virtual cathode in the insulator. The insulator is assumed to be free of surface states and ohmic contacts.

10-2 10-1 101 10

Fig. 2-7. Energy diagram illustrating virtual cathode, cathode region, and anode region under space-charge-limited conduction. λm denotes the position of virtual cathode in the insulator. The insulator is assumed to be free of surface states and ohmic contacts [88].

(a) Energetic distribution (b) Spatial distribution

Fig. 2-8. Schematic trap level distribution at 0ok, in the oxide (a) energetically and (b) spatially. Exponential trap distribution is assumed in (a). Trap levels filled with electrons are apparently separated from the unfilled trap levels on account of zero Kelvin degree consideration. Solid and hollow circles correspond to the trap sites with electrons and without electrons, respectively [90].

STAT (shallow level) DTAT (Deep level)

SCLC

Breakdown

VHR (~EF)

N

ot

(a.u.)

J ( a .u .)

Fig. 2-9. Gate current as a function of oxide trap density Not in arbitrary scale [93].

Unpolarized state Polarized state Polarization

Process Atomic (electron)

Ionic

Dipolar

Electric field

Space Charge

Fig. 2-10. Four kinds of polarization mechanisms [96].

Space charge Dipolar

Ionic

(electronic) Atomic

102 106 1010 1014 1018 Frequency (Hz)

Polarization

Fig. 2-11. The relation of the response frequency and polarization mechanisms [97].

Table 2-1. Theoretical expressions of the bulk-limited conduction processes [44]-[50].

(a) Variable range hopping, (b) space-charge-limited current: shallow, uniform, and exponential/ Gaussian distributions. Here, m* denotes the electron effective mass, q the electronic charge, h the Planck’s constant, kB the Boltzman’s constant, T absolute temperature, φ0 the metal-insulator barrier height, ε0 the vacuum permittivity, εi the relative permittivity of the insulator, s the insulator thickness, V the voltage of the insulator, E the electric field of the insulator.

Table 2-2. Theoretical expressions of the electrode-limited conduction processes in oxides [46], [48]-[51].

(a) Thermionic Current, (b) Direct Tunneling Current, (c) Trap-Assisted Tunneling: deep and shallow traps. Here, Ct denotes a slowly varying function of electron energy, Nt the trap density, φt the trap energy, mox the effective electron mass in the insulator, R0 tunneling rate of an electron from the silicon conduction band into a shallow trap, ν hopping frequency of an electron between shallow traps, η the junction ideality factor, Vt the thermal voltage (= kT/q), W the Lambert function [22,23] defined by the solutions of W(x)exp(W(x))=x, Rs the parasitic series resistance, Rp1 the shunt loss resistance at the device, Rp2 the shunt loss resistance at the device periphery, I0 the junction reverse current, d the distance between the trap centers, F the quasi-Fermi potential of the insulator, EC the conduction band edge of the insulator, NC the effective density of states in the insulator conduction band, m the carrier mobility, τ0 the time constant, n* the density of free electrons in the insulator, φm the energy corresponding to the maximum of the barrier, R the hopping distance, J0 the temperature dependent factor related to electron-phonon interactions, θ the trapping factor defined by the ration of the free electron density and the density of filled trapping sites.

Table 2-3. Comparison of Schottky-emission and Poole-Frenkel emission.

Item

Conduction J-E

film

or T

film

Location Origin J-V polarity

Schottky Emission Bulk-free Uncorrelated

Interface Image force Asymmetric

Poole-Frenkel Emission Bulk-limited

Correlated Bulk

Coulomb interaction

Symmetric

CHAPTER 3

Fabrication and Characterization Methods

In this chapter, the detail fabrication procedures of the metal-Insulator-metal (MIM) capacitors with a high dielectric constant (high-k) of a lanthanum oxide (La2O3) insulator are described. The physical analyses techniques and electrical measurement methods used to characterize MIM capacitors are also included and presented in this chapter.

3.1 F

ABRICATION OF

L

A2

O

3

MIM C

APACITOR

The schematic layout and its cross section along the A-A’ line of the fabricated La2O3

MIM capacitors are shown in Figs. 3-1(a) and 3-1(b), respectively. The main fabrication steps were summarized below, and the process steps corresponding to their used lithographic masks were shown in Fig. 3-2. After performing the standard RCA clean process to remove the native oxide and any contamination [1], the 1-µm thermal oxide was grown on 6-inch Si wafer as an isolation buffer layer by using wet oxidation. And then, the 200-nm tantalum (Ta) layer and the 50-nm tantalum nitride (TaN) layer were subsequently deposited on buffer oxide layer as the bottom electrode by a reactive sputtering system. Ta layer and TaN layer were used to reduce the parasitic resistance and to serve as a diffusion barrier layer [104], [107], respectively. Before defining the bottom electrode of the MIM capacitor, the surface of TaN was treated by ammonia (NH3) plasma nitridation at 300 mtorr with the RF power of 100 watts for 10 minutes to reduce the interfacial layer [118]-[119] during the following high-k annealing processes. Besides, the metal layer TaN with NH3 treatment has more flat surface roughness so that the leakage current of the MIM capacitor could be reduced under bottom injection condition [120].

The bottom electrode TaN/Ta was lithographically patterned and defined by employing a transformer-coupled-plasma (TCP) etcher with chlorine-based gas. Subsequently, as displayed in Fig. 3-2(b), the 200-nm tetraethoxylsilane (TEOS) oxide film used as the first inter-layer dielectric (ILD) isolation was deposited by utilizing a plasma-enhanced chemical vapor deposition (PECVD) system at 300 °C. The capacitor region and the bottom contact region were etched by buffered oxide etchant (BOE) solution after being opened by optical lithography process. Afterwards, the lanthanum oxide (La2O3) was deposited by using electron beam evaporation, as illustrated in Fig. 3-2(c). The high-k dielectric La2O3 film on bottom electrode was annealed at 400 °C in O2 ambient to improve its quality via fully oxidation and defects elimination. After lithography process to define the top electrode region, the 60-nm nickel (Ni) and the 30-nm TaN were subsequently deposited by electron beam evaporation and reactively sputtering, respectively. And then, the top electrode with Ni/TaN bi-layer metal was formed by using lift-off technique, as indicated in Fig. 3-2(d).

Next, the 300-nm TEOS oxide film served as the second ILD passivation layer was deposited by using a PECVD system at 300 °C. Because the La2O3 high-k dielectric film and the TaN metal were hardly etched by BOE solution, the contact holes were patterned by two-step etching process for interconnection, as presented in Fig. 3-2(e) and 3-2(f). Firstly, the 300-nm ILD passivation on contact hole region was removed by BOE solution and its could be etched, and the etching process could be stopped on the top of the TaN electrode and the La2O3 film. Secondly, the mixed solution of H3PO4: HNO3: CH3COOH: H2O = 50: 2: 10: 9 heated to 60 °C was used to dissolve La2O3 film with high etching selectivity to the ILD passivation layer and the TaN electrodes. After the contact holes were opened by two-step wet etching process, the aluminum (Al) film of 500 nm was deposited by using a thermal evaporation system. Finally, the aluminum pads were lithographically patterned and also etched by the mixed solution of H3PO4: HNO3: CH3COOH: H2O = 50: 2: 10: 9 at 60 °C.

Ultimately, the MIM capacitors with La2O3 high-k dielectrics were accomplished, as depicted

in Fig. 3-2(g). It was noteworthy that the maximum temperature during MIM capacitors fabrication was 400 °C, which was compatible with VLSI backend process. Besides, the optimized fabrication condition for La2O3 is 10-nm with 10-minute annealing, and hence we measured and discussed the MIM capacitors with high-k layer of this optimized condition in this thesis.

3.2 M

EASUREMENT AND

P

ARAMETERS

E

XTRACTION

An automatic measurement system consisted of a person computer (PC), Agilent-4156C, Agilent-4284A, Agilent switch, and a probe station is used for DC and low-frequency measurement of the fabricated devices. The properties of the La2O3 MIM capacitor are measured by the temperature-controlled chuck of the probe station, such as leakage current, capacitance density, breakdown biased voltage, and reliability characteristics. For all of electrical measurements, the voltage and the altering signal are applied to the top electrode while the bottom electrode was grounded.

The leakage current-voltage (J-V) measurements are preformed on the Agilent-4156C semiconductor parameter analyzer in the temperature range from 25 °C to 125 °C to evaluate the conduction mechanisms of the La2O3 MIM capacitors. After taking about 30 minutes to stabilize the temperature of wafer and chuck, the measurement starts at 0 V and sweeps towards the high electric field region with a 0.1 V voltage step. Afterwards, the numerical fitting of the measured J-V data is carried out according to the theories and the equations stated in chapter 2.

The capacitance-voltage (C-V) curves are measured by the Agilent 4284A precision impedance meter, and the dielectric loss-voltage (D-V) curves could also be observed at the same time. The biased voltage on the top electrode of the La2O3 MIM capacitor sweeps from

−2 V to 2 V at frequencies varying from 10 kHz to 500 kHz by applying an ac signal with

25-mV amplitude. The thermal stress on this MIM capacitor is also carried on with measurement temperatures varying from 25 °C to 125 °C. Together with the La2O3 physical thickness obtained from transmission electron microscope (TEM), the dielectric constant of the deposited La2O3 film (kLa2O3) could be determined as

, where ε0 is the permittivity of free space, S is the capacitor area, d is the physical thickness of the La2O3 film, and C is the capacitance at zero bias, at 100 kHz, and at 25 °C.

Consequently, the equivalent oxide thickness (EOT) of the deposited La2O3 film could be calculated by

, where kSiO2 is the dielectric constant of the silicon dioxide.

As for the accuracy of analog functions performed by the MIM capacitors, the dependence of capacitance on the biased voltage (V), the temperature (T), and the frequency (F) are investigated. For one thing, C-V curves in the voltage range from −1.5 V to 1.5 V are fitted by the following second order polynomial equation as

( )

=

(

= ×0

) (

× 2+ × +1

)

C V C V α V β V (3-3)

, where C(V=0) is the capacitance at zero bias, α (ppm/V2) is the quadratic voltage coefficient of capacitance, and β (ppm/V) is the linear voltage coefficient of capacitance. The parameter β could be cancelled by circuit design [121], but the parameter α could not. Therefore, only the parameter of α under various measurement frequencies and various temperatures are discussed in this thesis. What is more, for the discussion of the thermal stability of the MIM capacitors, the temperature coefficient of capacitance (TCC) is extracted as the slope of a capacitance-temperature (C-T) plot in the temperature range of 25 °C to 125 °C, as shown in Eq. 3-4.

(

125

)

( )

= ×

= oTCC C T

C T C T (3-4)

As a result, the TCC (ppm/°C) for a certain bias and a certain frequency condition could be obtained. In this work, the temperature coefficients of capacitance (TCC) at zero bias are fitted to exhibit the temperature dependency of capacitance.

Concerning the frequency dispersion in MIM capacitors, the frequency coefficient of capacitance (FCC) could be defined as the slope of a C-log10F plot in the frequency range from 10 kHz to 500 kHz by

, where C(F = 10 kHz) is the capacitance at 10 kHz, the minimum frequency we measure. In this study, the frequency coefficients of capacitance at zero bias are derived as the indicators of the frequency dependency of capacitance.

Moreover, from the viewpoint of practical use, it is very important to clarify the stability of MIM capacitor properties during long-term voltage stress. Therefore, the constant voltage stress (CVS) in the range of −4 V to −5 V at the temperature of 25°C is conducted by utilizing the Agilent-4156C semiconductor parameter analyzer. The C-V and J-V characteristics of La2O3 MIM capacitors are also measured at various time intervals during CVS testing. To inspect the stress induced instability of La2O3 MIM capacitor, the relative leakage current variation and the relative capacitance variation during stressing compared to fresh conditions are observed as

, where J(t=0) and C(t=0) are the initial leakage current density and the initial capacitance

density, respectively. The leakage current and the capacitance measured at various time intervals during CVS testing are extracted at the applied voltage at −1 V and 0 V, respectively.

In the same way, the relative variation in dielectric loss, in quadratic voltage coefficient of capacitance, and in temperature coefficient of capacitance caused by CVS with respect to its initial values are acquired as following

( ) ( ) ( )

Here, D(t=0) and D(t) are the dielectric loss at V=0 before and during stressing monitored by the Agilent 4284A precision impedance meter. α(t=0) and α(t) are the extracted quadratic voltage coefficients of capacitance before and during stressing. TCC(t=0) and TCC(t) are the deduced temperature coefficients of capacitance before and during stressing.

Additionally, the charge injecting into the dielectric film of the MIM capacitor during stressing could be determined by Qinj (C/cm2) from the integration formula

( )

0

=

t

inj stress

Q J t dt (3-10)

, where Jstress(t) is the current density as a function of time flowing through the La2O3 film and the term “t” also refers to the stress time. More specifically, the Qinj is the area under the curve of the Jstress versus stressing time, as schematically described in Fig. 3-3.

On the other hand, the reliability issues include time-zero dielectric breakdown (TZDB) and time-dependent dielectric breakdown (TDDB) are discussed to demonstrate the lifetime and integrity of the dielectrics of fabricated MIM capacitors. In the first place, the TZDB measurement method was a J-V measurement with increasing applied voltage until the

dielectric breakdown, and then the cumulative results of the breakdown field (EBD, MV/cm) are obtained because of the wide range of EBD data. In the second place, the TDDB measurements are carried out by means of the CVS at 75°C with appreciable current flow (Jstress) through the dielectric, and the evolution of the Jstress with time during the CVS could be monitored. When a sudden jump in Jstress occurrs, the time of this event is called as the time to breakdown (tBD) point. The charge to breakdown (QBD) of MIM capacitor defined by the necessary charge density injection until the dielectric breakdown could be computed from the following equation

Hence, the tBD and QBD could be estimated in this work. The statistics of TDDB are described by the Weibull distribution [30]

( )

= −1 exp ⎟ shape factor or Weibull slope, as depicted in Fig. 3-4. By extrapolating all the αC data with respect to applied voltages, the lifetime projection of La2O3 MIM capacitors to operational voltages could be realized, as schematized in Fig. 3-5. Furthermore, βC is also useful in predicting lifetime distribution for various capacitor areas. For example, the relationship between the scale factor ratio (αCC0) and the area ratio (S/S0) could be described as the following equation [30]

1

, where the S0 and the αC0 are the initial area and the scale factor of capacitor, respectively.

3.3 M

ATERIAL

A

NALYSES

The physical characterization of deposited La2O3 films consisted of the transmission electron microscopy (TEM) image for physical thickness determination, and the X-ray photoelectron microscopy (XPS) measurements for the composition scrutiny. And all of these material analysis techniques were briefly stated behind.

To begin with, the La2O3 physical thickness (cross section) of the fabricated samples was determined by the TEM image. In TEM, observation is made in ultrahigh vacuum, where an electron beam is focused onto the sample by electromagnetic lenses. Because the electron beam’s wavelength is less than that of visible spectra, the resolution of TEM is higher than that of the conventional optical type microscope. In this work, the deposited high-κ dielectric is prepared by using a focus ion beam (FIB) system with the model Nova 200 of FEI Company, and then it is transferred to JEOL JME-3000F TEM system for observing its thickness.

Next, the XPS system (Microlab 350, Thermal VG Scientific Company, England) was used to detect the composition of our deposited high-k dielectrics after annealing. The XPS,

Next, the XPS system (Microlab 350, Thermal VG Scientific Company, England) was used to detect the composition of our deposited high-k dielectrics after annealing. The XPS,

相關文件