• 沒有找到結果。

IEEE 802.16e OFDM上行及OFDMA下行同步技術與數位訊號處理器實現之研究

N/A
N/A
Protected

Academic year: 2021

Share "IEEE 802.16e OFDM上行及OFDMA下行同步技術與數位訊號處理器實現之研究"

Copied!
141
0
0

加載中.... (立即查看全文)

全文

(1)國 立 交 通 大 學 電子工程學系 碩. 士. 電子研究所碩士班 論. 文. IEEE 802.16e OFDM 上行及 OFDMA 下行同步技術 與數位訊號處理器實現之研究. Research in Synchronization Techniques and DSP Implementation for IEEE 802.16e OFDM Uplink and OFDMA Downlink. 研 究 生: 紀國偉 指導教授: 林大衛 博士. 中 華 民 國 九 十 五 年 六 月.

(2) IEEE 802.16e OFDM 上行 OFDMA 下行同步技術與數位訊號處理器 實現之研究. Research in Synchronization Techniques and DSP Implementation for IEEE 802.16e OFDM Uplink and OFDMA Downlink. 研 究 生: 紀國偉. Student: Guo Wei Ji. 指導教授: 林大衛 博士. Advisor: Dr. David W. Lin. 國 立 交 通 大 學 電子工程學系. 電子研究所碩士班. 碩 士 論 文 A Thesis Submitted to Department of Electronics Engineering & Institute of Electronics College of Electrical and Computer Engineering National Chiao Tung University in Partial Fulfillment of the Requirements for the Degree of Master in Electronics Engineering June 2006 Hsinchu, Taiwan, Republic of China. 中 華 民 國 九 十 五 年 六 月.

(3) IEEE 802.16e OFDM 上行及 OFDMA 下行同步技術 與數位訊號處理器實現之研究 研究生:紀國偉. 指導教授:林大衛 博士. 國立交通大學 電子工程學系 電子研究所碩士班. 摘要 本篇論文介紹 IEEE 802.16e 正交分頻多工(OFDM)和正交分頻多工存取 (OFDMA)的同步。我們討論了他們同步的問題、演算法、以及實做方面的議題。 在正交分頻多工系統,我們首先設計一套同步系統用來解決符碼時間偏移和 小數部分載波偏移的問題,並用浮點數運算來實做。符碼時間是利用固定不變的 同步碼(preamble)來同步,而小數部分的載波偏移是計算靠循環字首(cyclic prefix) 的相關性(correlation)來估計其值。我們同時在可加性白色高斯雜訊通道(AWGN) 以及多路徑 Rayleigh 衰減通道下做模擬,模擬速度高達 60 km/h,並觀察其結果。 其次,我們把這些方法修改成定點運算的版本,並在數位訊號處理平台上, 最佳化我們的程式的速度。雖然修改成定點運算會使效能衰減,但其接果依然可 以接受。最後我們系統的每一塊功能區塊(function block)都能達到即時處理(real time)的要求。 在正交分頻多工存取系統中,除了符碼時間偏移和小數部分載波偏移之外, 尚有整數部分載波偏移、取樣頻率偏移、和同步碼索引(preamble index)等需要同 i.

(4) 步。因為使用者端事先無法知道確切的同步碼,所以我們把同步碼視為一般符 碼,利用計算靠循環字首的相關性來估計時間偏移和小數載波偏移。我們同樣利 用同步碼的特性來同步整數載波偏移和同步碼索引。小數載波偏移需要靠平均每 個循環字首相關性的結果來得到較準的結果,而取樣頻率偏移可以和載波偏移一 起同步,因為他們有一樣的錯誤比例。我們也提供比較準確的時間同步以改善同 步碼索引同步的錯誤率。 就像正交分頻多工系統一樣,我們用浮點運算來實做這些方法,並同時在可 加性白色高斯雜訊通道以及多路徑 Rayleigh 衰減通道下做模擬,不過這邊的模 擬速度高達 300 km/h。. ii.

(5) Research in Synchronization Techniques and DSP Implementation for IEEE 802.16e OFDM Uplink and OFDMA Downlink Student: Guo Wei Ji. Advisor: Dr. David W. Lin. Department of Electronics Engineering Institute of Electronics National Chiao Tung University Abstract. This thesis introduces the synchronization of IEEE 802.16e OFDM and OFDMA system. We discuss their synchronization problems, algorithms, and implementation issues. In the OFDM system, we first design a synchronization system to overcome the problems of symbol timing offset and fractional carrier frequency offset (CFO), and implement them with floating-point. The symbol timing is synchronized by invariant preamble, and the fractional CFO is estimated by cyclic prefix (CP) correlation. We simulate our system in both AWGN and multipath Rayleigh fading channel, which the speed is as high as 60 km/h, and see the performance. Next, we modified these methods into fixed-point version, and then optimize the speed of our programs on the digital signal processor (DSP) platform. Although the performance is degraded because of fixed-point modification, the results still can be accepted. Finally the function blocks of our system can all reach the requirement of real time. In the OFDMA system, in addition to symbol timing offset and fractional CFO, it iii.

(6) still has integer CFO, sampling frequency offset (SFO), and preamble index need to be synchronized. Since the SS does not know the preamble in advance, we view preamble as a regular symbol and estimate the symbol timing and fractional CFO by CP correlation. We also use the feature of preamble to estimate the integer CFO and identify the preamble index. The fractional CFO needs be estimated by averaging every CP correlation result for a more accurate result, and the SFO can be synchronized with fractional CFO synchronization because they have the same error ratio. We also afford a fine timing estimation to improve the error rate of the preamble index identification. Like in the OFDM system, we implement these methods in floating-point version, and simulate them in both AWGN and multipath Rayleigh fading channel, but the speed is as high as 300 km/h here.. iv.

(7) 誌謝 本篇論文方得以順利完成,首先想感謝林大衛老師。在兩年的研究所生涯 裡,由於他的細心指導及在專業領域的博學精深,使得我在學習研究這條路上, 一直都能順利地往前行。祝福老師在忙碌之餘,能保有健康的身體。 另外,感謝通訊電子與訊號處理實驗室所有的成員,包含各位師長、同學、 學長姐與學弟妹們。感謝吳俊榮學長、洪崑健學長給予我在研究過程上的指導與 建議,還有陳勇竹同學、王治傑同學、陳旻弘同學、黃育彰同學、劉建志同學、 林鴻志等同學,因為能和你們共同討論及分享求學的經驗,使得實驗室一直是一 個燈光美、氣氛佳的好地方。 最後,我要感謝我的家人和朋友們,感謝他們一直都在背後支持我,讓我能 心無旁騖地完成學業。 在此,將此篇論文獻給所有給予我幫助的人。 紀國偉 民國九十五年六月 於新竹. v.

(8) Research in Synchronization Techniques and DSP Implementation for IEEE 802.16e OFDM Uplink and OFDMA Downlink Prepared by Guo-Wei Ji Directed by Prof. D. W. Lin. In Partial Fulfillment of the Requirements for the Degree of Master of Science. Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan 300, R.O.C. E-mail: lotus1982@gmail.com. June 20, 2006.

(9) 2.

(10) Contents. 1 Introduction. 1. 2 Overview of OFDM and OFDMA. 4. 2.1. 2.2. OFDM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4. 2.1.1. Introduction to OFDM. . . . . . . . . . . . . . . . . . . . . . . . . .. 4. 2.1.2. Mathematical Description of OFDM . . . . . . . . . . . . . . . . . .. 6. OFDMA System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 9. 3 Overview of the IEEE 802.16e Standard. 11. 3.1. Introduction to IEEE 802.16 [11] . . . . . . . . . . . . . . . . . . . . . . . .. 11. 3.2. WirelessMAN-OFDM TDD Uplink [3] . . . . . . . . . . . . . . . . . . . . .. 12. 3.2.1. OFDM Symbol Parameters . . . . . . . . . . . . . . . . . . . . . . .. 12. 3.2.2. Point-to-Multipoint (PMP) Frame Structure . . . . . . . . . . . . . .. 14. 3.2.3. Modulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 16. 3.2.4. Preamble Structure and Modulation. . . . . . . . . . . . . . . . . . .. 17. 3.2.5. Frequency and Timing Requirements . . . . . . . . . . . . . . . . . .. 18. WirelessMAN-OFDMA TDD Downlink [3] . . . . . . . . . . . . . . . . . . .. 19. 3.3. vi.

(11) 3.3.1. OFDMA Basic Terms . . . . . . . . . . . . . . . . . . . . . . . . . . .. 19. 3.3.2. OFDMA Symbol Parameters. . . . . . . . . . . . . . . . . . . . . . .. 21. 3.3.3. Frame Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 21. 3.3.4. OFDMA Downlink Subcarrier Allocation . . . . . . . . . . . . . . . .. 24. 3.3.5. Modulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 31. 3.3.6. Frequency and Timing Requirements . . . . . . . . . . . . . . . . . .. 33. 3.4. Transmit Spectral Mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 34. 3.5. System Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 35. 3.5.1. Uplink OFDM Transmission Parameters . . . . . . . . . . . . . . . .. 35. 3.5.2. Downlink OFDMA Transmission Parameters . . . . . . . . . . . . . .. 36. Transmission Filters [7] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 37. 3.6. 4 Introduction to the DSP Implementation Platform. 39. 4.1. The DSP Chip [16] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 39. 4.2. TI’s Code Development Environment [17]. . . . . . . . . . . . . . . . . . . .. 45. 4.2.1. Code Development Flow [18] . . . . . . . . . . . . . . . . . . . . . . .. 46. 4.2.2. Compiler Optimization Options [18]. . . . . . . . . . . . . . . . . . .. 48. 4.2.3. Software Pipelining [19] . . . . . . . . . . . . . . . . . . . . . . . . .. 50. 4.2.4. Intrinsics [18] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 51. 5 OFDM TDD Uplink Synchronization 5.1. OFDM Uplink Synchronization Problem and Techniques . . . . . . . . . . .. vii. 52 52.

(12) 5.2. 5.3. 5.4. 5.5. 5.1.1. Timing Offset and Fractional Carrier Frequency Offset . . . . . . . .. 53. 5.1.2. Integer Carrier Frequency Offset . . . . . . . . . . . . . . . . . . . . .. 55. 5.1.3. Sampling Frequency Offset . . . . . . . . . . . . . . . . . . . . . . . .. 55. Channel Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 57. 5.2.1. Gaussian Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 57. 5.2.2. Slow Fading Channel . . . . . . . . . . . . . . . . . . . . . . . . . . .. 57. 5.2.3. Fast Fading Channel . . . . . . . . . . . . . . . . . . . . . . . . . . .. 58. 5.2.4. Power-Delay Profile Model . . . . . . . . . . . . . . . . . . . . . . . .. 58. Floating-Point Simulation Results . . . . . . . . . . . . . . . . . . . . . . . .. 59. 5.3.1. Simulation Parameters and Environments . . . . . . . . . . . . . . .. 60. 5.3.2. Symbol Timing Estimation . . . . . . . . . . . . . . . . . . . . . . . .. 62. 5.3.3. Carrier Frequency Synchronization . . . . . . . . . . . . . . . . . . .. 63. Fixed-Point Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . .. 67. 5.4.1. Modulation and Subcarrier Allocation . . . . . . . . . . . . . . . . .. 69. 5.4.2. The IFFT and FFT . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 70. 5.4.3. SRRC Filter with Oversampling and Downsampling . . . . . . . . . .. 72. 5.4.4. Synchronization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 74. Fixed-Point Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . .. 74. 5.5.1. Symbol Timing Estimation . . . . . . . . . . . . . . . . . . . . . . . .. 74. 5.5.2. Carrier Frequency Synchronization . . . . . . . . . . . . . . . . . . .. 75. 5.5.3. Bit Error Rate Performance . . . . . . . . . . . . . . . . . . . . . . .. 76. viii.

(13) 5.6. 5.7. Program Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 79. 5.6.1. The Modulation Function . . . . . . . . . . . . . . . . . . . . . . . .. 80. 5.6.2. The Pilot Generate Function . . . . . . . . . . . . . . . . . . . . . . .. 80. 5.6.3. The Allocation Function . . . . . . . . . . . . . . . . . . . . . . . . .. 81. 5.6.4. The IFFT, Add CP and Tx SRRC, Rx SRRC and downsample, FFT functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 82. 5.6.5. The Preamble Synchronization Function . . . . . . . . . . . . . . . .. 82. 5.6.6. The CFO Synchronization Function . . . . . . . . . . . . . . . . . . .. 83. 5.6.7. The Frequency Compensation Function . . . . . . . . . . . . . . . . .. 83. Profile of Optimized DSP Program . . . . . . . . . . . . . . . . . . . . . . .. 88. 6 OFDMA TDD Downlink Synchronization 6.1. 6.2. 94. OFDMA Downlink Synchronization Problems and Techniques . . . . . . . .. 94. 6.1.1. Timing Offset and Fractional Carrier Frequency Offset . . . . . . . .. 95. 6.1.2. Integer Carrier Frequency Offset . . . . . . . . . . . . . . . . . . . . .. 96. 6.1.3. Preamble Index Identification . . . . . . . . . . . . . . . . . . . . . .. 98. 6.1.4. Fine Symbol Timing Estimation . . . . . . . . . . . . . . . . . . . . . 100. Floating-Point Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . 101 6.2.1. Symbol Timing Estimation . . . . . . . . . . . . . . . . . . . . . . . . 101. 6.2.2. Fractional CFO Estimation . . . . . . . . . . . . . . . . . . . . . . . 102. 6.2.3. Integer CFO Estimation . . . . . . . . . . . . . . . . . . . . . . . . . 103. 6.2.4. Preamble Index Identification . . . . . . . . . . . . . . . . . . . . . . 105. ix.

(14) 6.2.5. Fine Symbol Timing Estimation . . . . . . . . . . . . . . . . . . . . . 106. 6.2.6. Comparison of Preamble Indexes . . . . . . . . . . . . . . . . . . . . 107. 7 Conclusion and Future Work. 116. 7.1. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116. 7.2. Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117. x.

(15) List of Figures 2.1. Bandwidth efficiency comparison of FDM and OFDM systems (from [5]). . .. 2.2. The carrier has no crosstalk from other carriers at its center frequency (from [5]).. 5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 6. 2.3. Three time domain waveforms of different carriers (from [5]). . . . . . . . . .. 7. 2.4. Comparison of OFDM and OFDMA subcarriers allocation (from [12]). . . .. 10. 3.1. OFDM frame structure with TDD (from [2]).. . . . . . . . . . . . . . . . . .. 15. 3.2. PRBS generator for pilot modulation (from [3]). . . . . . . . . . . . . . . . .. 17. 3.3. Short preamble tmie domain structure (from [3]). . . . . . . . . . . . . . . .. 18. 3.4. OFDMA frequency description (3-channel schematic example, from [2]). . . .. 19. 3.5. Example of the data region which defines the OFDMA allocation (from [2]).. 20. 3.6. Example of an OFDMA frame (with only mandatory zone) in TDD mode (from [3]). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 22. 3.7. FCH subchannel allocation for all 3 segments (from [3]). . . . . . . . . . . .. 24. 3.8. Example of DL renumbering the allocated subchannels for segment 1 in PUSC. 3.9. (from [3]). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 25. Cluster structure (from [3]). . . . . . . . . . . . . . . . . . . . . . . . . . . .. 28. xi.

(16) 3.10 Transmit spectral mask (from [2]).. . . . . . . . . . . . . . . . . . . . . . . .. 34. 3.11 Transmitter components that are related to synchronization. . . . . . . . . .. 36. 3.12 Receiver components that are related to synchronization. . . . . . . . . . . .. 36. 4.1. Functional block and CPU (DSP core) diagram [15]. . . . . . . . . . . . . . .. 40. 4.2. The C64x CPU block diagram [16]. . . . . . . . . . . . . . . . . . . . . . . .. 42. 4.3. Code development flow of C6000 (from [18]). . . . . . . . . . . . . . . . . . .. 47. 4.4. Software-pipelined loop (from [16]). . . . . . . . . . . . . . . . . . . . . . . .. 50. 5.1. The proposed synchronizer structure for the receiver. . . . . . . . . . . . . .. 53. 5.2. Structure of J.-C. Lin’s symbol timing and fractional carrier frequency synchronization method [21]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 54. 5.3. BER degradation at 5 ppm sampling clock error.. . . . . . . . . . . . . . . .. 56. 5.4. UL transmitter structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 59. 5.5. UL receiver structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 60. 5.6. Structure of the C program for synchronizer simulation. . . . . . . . . . . . .. 61. 5.7. Distribution of timing offset estimation errors. . . . . . . . . . . . . . . . . .. 63. 5.8. Distribution of timing offset estimation errors using J.-C. Lin’s method. . . .. 64. 5.9. RMSE of symbol timing offset synchronization at SNR = 10 dB. . . . . . . .. 65. 5.10 Symbol time synchronization error distribution under different speeds. . . . .. 66. 5.11 RMSE of fractional CFO synchronization. . . . . . . . . . . . . . . . . . . .. 67. 5.12 Fractional CFO synchronization error distribution under different speeds. . .. 68. 5.13 RMSE of fractional CFO synchronization after averaging. . . . . . . . . . . .. 69. xii.

(17) 5.14 Fractional CFO synchronization error distribution under different speeds after averaging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 70. 5.15 Fixed-point data formats used at different points in the transmitter. . . . . .. 71. 5.16 Fixed-point data formats used at different points in the receiver. . . . . . . .. 71. 5.17 Implementation of interpolation filter with polyphase decomposition [5]. . . .. 73. 5.18 Convolution kernel at the boundary of a finite-length sequence [7]. . . . . . .. 73. 5.19 Distribution of timing offset estimation errors with fixed-point implementation. 76 5.20 RMSE of symbol timing offset estimation with fixed-point and floating-point implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 77. 5.21 Symbol timing estimation error distribution under different speeds with fixedpoint and floating-point implementation. . . . . . . . . . . . . . . . . . . . .. 78. 5.22 RMSE of fractional CFO synchronization with fixed-point and floating-point implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 79. 5.23 Fractional CFO synchronization error distribution under different speeds with fixed-point and floating-point implementation. . . . . . . . . . . . . . . . . .. 80. 5.24 RMSE of fractional CFO synchronization after averaging with fixed-point and floating-point implementation. . . . . . . . . . . . . . . . . . . . . . . . . . .. 81. 5.25 Fractional CFO synchronization error distribution under different speeds after averaging with fixed-point and floating-point and floating-point implementation. 82 5.26 BER performance after synchronization at 60 km/h. . . . . . . . . . . . . . .. 83. 5.27 A part of C and assembly code for pilot generate function. . . . . . . . . . .. 84. 5.28 A part of C code for allocation function. . . . . . . . . . . . . . . . . . . . .. 85. xiii.

(18) 5.29 Software-pipeline information and a part of assembly code for allocation function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 86. 5.30 A part of C code for preamble synchronization function. . . . . . . . . . . .. 86. 5.31 Software-pipeline information for preamble synchronization function.. . . . .. 87. 5.32 A part of C code for CFO synchronization function. . . . . . . . . . . . . . .. 87. 5.33 A part of C code for frequency compensate function. . . . . . . . . . . . . .. 89. 5.34 Software-pipeline information for frequency compensate function. . . . . . .. 89. 5.35 A part of assembly code for frequency compensate function–I. . . . . . . . .. 90. 5.36 A part of assembly code for frequency compensate function–II. . . . . . . . .. 91. 5.37 Percentage of DSP loading in the Tx and the Rx. . . . . . . . . . . . . . . .. 93. 6.1. The proposed OFDMA synchronizer structure. . . . . . . . . . . . . . . . . .. 96. 6.2. Multiplication complexity of two algorithms. . . . . . . . . . . . . . . . . . .. 99. 6.3. Distribution of timing offset estimation errors. . . . . . . . . . . . . . . . . . 102. 6.4. Symbol time synchronization error distribution under different speeds (i). . . 103. 6.5. Symbol time synchronization error distribution under different speeds (ii). . . 104. 6.6. RMSE of symbol timing offset synchronization. . . . . . . . . . . . . . . . . 105. 6.7. RMSE of fractional CFO synchronization. . . . . . . . . . . . . . . . . . . . 108. 6.8. Fractional CFO synchronization error distribution under different speeds. . . 109. 6.9. Error probability of integer CFO synchronization in multipath fading channel. 109. 6.10 Error probability of preamble index synchronization in multipath fading channel.110. xiv.

(19) 6.11 Probability of error in either the identified preamble index or the estimated integer CFO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110 6.12 Probability of error in either the identified preamble index or the estimated integer CFO, in perfect symbol timing. . . . . . . . . . . . . . . . . . . . . . 111 6.13 Probability of error in either the identified preamble index or the estimated integer CFO of two methods.. . . . . . . . . . . . . . . . . . . . . . . . . . . 111. 6.14 Distribution of timing offset estimation errors of fine timing synchronization.. 112. 6.15 Probability of error in either the identified preamble index or the estimated integer CFO with different fine timing synchronization. . . . . . . . . . . . . 113 6.16 Error probability in symbol timing offset estimation with different preambles. 113 6.17 Error probability in integer CFO estimation with different preambles. . . . . 114 6.18 Error probability in preamble index identification with different preambles. . 114 6.19 CP correlation values of two preambles. . . . . . . . . . . . . . . . . . . . . . 115. xv.

(20) List of Tables 2.1. OFDM Advantages and Disadvantages . . . . . . . . . . . . . . . . . . . . .. 3.1. OFDM Symbol Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . .. 13. 3.2. 2048-FFT OFDMA DL Carrier Allocation Under PUSC . . . . . . . . . . .. 27. 3.3. 2048-FFT OFDMA DK Carrier Allocation Under FUSC . . . . . . . . . . .. 29. 3.4. Transmit Sprctral Mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 34. 4.1. The L. and S. Functional Units and Operations Performed [16] . . . . . . . .. 43. 4.2. The M. and D. Functional Units and and Operations Performed [16] . . . . .. 44. 5.1. ETSI “Vehicular A” Channel Model in Different Units [13] . . . . . . . . . .. 59. 5.2. Receiver SNR Assumptions. . . . . . . . . . . . . . . . . . . . . . . . . . . .. 60. 5.3. Relation Between Speed and Doppler Shift at Carrier Frequency 5 GHz . . .. 62. 5.4. Ranges of Modulated Signal Values . . . . . . . . . . . . . . . . . . . . . . .. 70. 5.5. Profile of Synchronization Function Blocks . . . . . . . . . . . . . . . . . . .. 92. 6.1. OFDMA Receiver SNR Assumptions . . . . . . . . . . . . . . . . . . . . . . 101. xvi. 7.

(21) Chapter 1 Introduction The IEEE 802.16 WirelessMAN standard provides specifications for an air interface for fixed, portable, and mobile broadband wireless access systems. The standard includes requirements for high data rate line-of-sight (LOS) operation in the 10–66 GHz range for fixed wireless networks as well as requirements for non-line-of-sight (NLOS) fixed, portable, and mobile systems operating in sub-11 GHz licensed and licensed-exempt bands. The 802.16d upgrade to the 802.16a standard was approved in June 2004 (now codenamed 802.16-2004), and primarily introduces some performance enhancement features in the uplink [1]. It consolidates IEEE Std 802.16, IEEE Std 802.16a, and IEEE Std 802.16c, retaining all modes and major features without adding modes [2]. The IEEE 802.16-2004 has been proposed to provide last-mile connectivity to fixed locations by radio links. Just like IEEE802.16a, IEEE802.16-2004 deploys in two ranges of frequency bands. 10–66 GHz is used for line-of-sight propagation, and the air interface is designed “WirelessMANSC.” The 2–11 GHz band, both licensed and license-exempt, is what we are interested in. Design of the 2–11 GHz physical layer is driven by the need for NLOS operation. The three 2–11 GHz air interface specifications in 802.16d are: • WirelessMAN-SCa: This uses a single-carrier modulation format. 1.

(22) • WirelessMAN-OFDM: This uses orthogonal frequency-division multiplexing (OFDM) with a 256-point transform. Access is by TDMA. This air interface is mandatory for licenseexempt bands. • WirelessMAN-OFDMA: This uses orthogonal frequency-division multiple access (OFDMA) with a 2048-point transform. In this system, multiple access is provided by addressing a subset of the multiple carriers to individual receivers.. OFDMA is a variation scheme of OFDM, which is a special case of multicarrier transmission that transmits one data stream over a number of subchannels. What makes OFDMA different from OFDM is that multiple users can share one OFDM symbol. It is the combination of OFDM and frequency division multiple access (FDMA), but the guard band of each user could be neglected. OFDMA provides a highly flexible and efficient structure for mutltiuser communication. Mobility enhancements are considered in IEEE 802.16e, which was published in February 2006 [3]. Amendments for the physical (PHY) and medium access control (MAC) layers of 802.16-2004 for mobile operation are being developed by TGe of the 802.16 Working Group. The task group’s responsibility is to develop enhancement specifications to the standard to support subscriber stations (SS) moving at vehicular speeds and thereby specify a system for combined fixed and mobile broadband wireless access. Functions to support optional PHY layer structures, mobile-specific MAC enhancements, higher-layer handoff between base stations (BS) or sectors, and security features are among those specified. Operation in mobile mode is limited to licensed bands suitable for mobility between 2 and 6 GHz [4]. The concept of scalable OFDMA is introduced to the IEEE 802.16 WirelessMAN OFDMA mode by the 802.16 TGe. A scalable physical layer enables standard-based solutions to deliver optimum performance in channel bandwidths ranging from 1.25 MHz to 20 MHz with 2.

(23) fixed subcarrier spacing for both fixed and portable/mobile usage models, while keeping the product cost low [4]. Either in the uplink (UL) or in the downlink (DL) direction, the receiver needs to know the exact timing and frequency information of received symbols. By taking advantage of the cyclic prefix or the preamble symbol, we can estimate the symbol timing and frequency offset. We also take fast Rayleigh fading into consideration for the purpose of wireless application. Generally speaking, our study can be divided to two parts. The first part is based on the IEEE 802.16e OFDM time division duplex (TDD) UL system. We design synchronization algorithms according to the timing and frequency requirements in the IEEE 802.16e standard. In addition to running simulations in fixed and slowly moving channels, we implement the algorithms on Texas Instrument (TI)’s digital signal processor (DSP) employing the Code Composer Studio (CCS). The second part is designing OFDMA TDD DL timing and frequency synchronization algorithms, and running the corresponding simulation for fixed and fast moving channels. In this work, we mainly reference to [5], [6], and [7], where the intents were to design and implement the uplink synchronization scheme of IEEE 802.16a OFDMA by using DSP. Their works also included the implementation of the framing/deframing structure, IFFT/FFT blocks and transmitter/receiver square-root-raised-cosine (SRRC) filters. This thesis is organized as follows. In chapter 2, we introduce the concepts of OFDM and OFDMA. Chapter 3 introduces IEEE 802.16e WirelessMAN OFDM and OFDMA standards, and gives the system parameters. The transmission filtering is also analyzed in chapter 3. Chapter 4 introduces the DSP platform. Chapter 5 discusses the synchronization issues of OFDM, and also presents the DSP optimization results. The channel environments are described in this chapter for simulations. In chapter 6 we study the synchronization works of OFDMA. Finally, chapter 7 gives the conclusion and future work.. 3.

(24) Chapter 2 Overview of OFDM and OFDMA The material in this chapter is largely taken from the contents of [8] and [9].. 2.1 2.1.1. OFDM Introduction to OFDM. OFDM is a special case of multicarrier transmission, where a single datastream is transmitted over a number of lower rate subcarriers. One of the main reasons to use OFDM is to increase the robustness against frequency selective fading or narrowband interference. In a single carrier system, a single fade or interference can cause the entire link to fail, but in a multicarrier system, only a small percentage of subcarriers will be affected. Error correction coding can then be used to correct for the few erroneous subcarriers. In a classical parallel data system, the total signal frequency band is divided into nonoverlapping frequency channels. It appears good to avoid spectral overlap of channels to eliminate inter-channel interference. However, this leads to inefficient use of the available spectrum. To cope with the inefficiency, the concept of using parallel data transmission by means of frequency division multiplexing (FDM) was published in mid-1960s. The idea was to use parallel data streams and FDM with overlapping carriers. Fig. 2.1 shows the 4.

(25) FDM. Frequency OFDM. Frequency. Figure 2.1: Bandwidth efficiency comparison of FDM and OFDM systems (from [5]).. comparison of the bandwidth utilization efficiency for FDM and OFDM. The bandwidth is saved nearly 50%. For a given overall data rate, the increasing number of carriers due to overlapping can reduce the data rate that each individual carrier must convey, and hence lengthen the symbol period. This means that the inter-symbol interference affects a smaller percentage of each symbol. Therefore complex equalization is normally not needed in the receiver. However, to realize the overlapping multicarrier technique, the crosstalk between carriers is required to be reduced. This means we want orthogonality between the different modulated carriers. Figure 2.2 shows that at the center frequency of each carrier, there is no crosstalk from other carriers. If the number of subchannels is large, the sinusoidal generators and coherent demodulators required in a parallel system would become extremely expensive and complex. Weinstein and Ebert [10] applied the discrete Fourier transform (DFT) to parallel data transmission system as part of the modulation and demodulation process. By this way, the banks of carrier oscillators and coherent demodulators were eliminated. Moreover, a completely digital implementation could be built around special-purpose hardware performing the fast Fourier transform (FFT). As mentioned in the previous discussion, the symbol duration can be lengthened such 5.

(26) Carriers. Frequency. Figure 2.2: The carrier has no crosstalk from other carriers at its center frequency (from [5]).. that the multipath delay relative to the symbol time can be reduced. In order to eliminate the inter-symbol interference (ISI) completely, a guard time (or guard interval, or cyclic prefix) is inserted. Therefore the multipath portion of one symbol will only contaminate the guard interval of the next symbol. For the target data, it is ISI free. The cyclically extended guard interval is to replicate part of the OFDM time-domain waveform from the back to the front to create a guard period. By this way, cyclic convolution can still be applied between the OFDM signal and the channel response to model the transmission system. In addition, the cyclic extension property can be used in synchronization. Finally, the advantages and disadvantages of OFDM are summarized in Table 2.1. The advantages are already discussed above. The first two disadvantages will be considered in this thesis, while the last two are ignored.. 2.1.2. Mathematical Description of OFDM. Before discussing the mathematical definition of the OFDM signal, the orthogonal property is considered first. As described before, the orthogonality is the reason why the carriers can be 6.

(27) Table 2.1: OFDM Advantages and Disadvantages OFDM advantages OFDM disadvantages Efficient to deal with multipath Sensitive to frequency offset Enhance channel capacity Sensitive to timing errors Robust against narrowband interference Sensitive to phase noise Large peak-to-average power ratio. Time τ symbol time. Figure 2.3: Three time domain waveforms of different carriers (from [5]).. overlapped. What is the required condition to maintain the orthogonality property? As the transmitted signal is FDM based, the receiver acts as a bank of demodulators ejw1 , ejw2 , · · ·, translating each carrier down to DC. The resulting signal is then integrated over a symbol period to recover the raw data. As Fig. 2.3 shows, if the carriers have a whole number of cycles in the symbol period τ , then the integration process results in zero contribution from all the other carriers. Thus the carriers are orthogonal if the carrier spacing is a multiple of 1/τ . The mathematical description of the OFDM system allows us to see how the signal is generated and how receiver must operate. Mathematically, each carrier can be described as a complex wave: Sc (t) = Ac (t)ej[wc t+φc (t)] . 7. (2.1).

(28) The real signal is the real part of sc (t). Both Ac (t) and φc (t), the amplitude and phase of the carrier, can vary on a symbol by symbol basis. The values of the parameters are constant over the symbol duration τ . An OFDM signal consists of many carriers. Thus the complex signals Ss (t) is represented by: N −1 1 X Ss (t) = An (t)ej[wn t+φn (t)] N n=0. (2.2). where wn = w0 + n4w. This is a continuous-time signal. If we consider the waveforms of each component of the signal over one symbol period, then the variables An (t) and φn (t) take on fixed values, which depend on the frequency of that particular carrier, and so can be rewritten as constants: An (t) ⇒ An , φn (t) ⇒ φn . If the signal is sampled using a sampling frequency of 1/T , then the resulting signal is represented by: N −1 1 X An ej[(w0 +n4w)kT +φn ] . Ss (kT ) = N n=0. (2.3). At this point, the time was restricted to be over which the signal can be analyzed to N samples. It is convenient to sample over the period of one data symbol, thus τ = N T. To simplify the signals, let w0 = 0. Then the signal becomes N −1 1 X Ss (kT ) = An ej[(n4w)kT +φn ] . N n=0. (2.4). As we know, the form of the inverse discrete Fourier transform (IDFT) is x(n) =. N −1 2πkn 1 X X(wk )ej N . N k=0. 8. (2.5).

(29) Since the factor An ejφn is constant in the sampled frequency domain, (2.4) and (2.5) are equivalent if 4f =. 4w 1 1 = = , 2π NT τ. (2.6). which is equivalent to the condition for orthogonality discussed earlier. Thus as a conclusion, using DFT to define the OFDM signal can maintain the orthogonality. At the transmitter, the signal is defined in the frequency domain. It is a sampled digital signal, and it is defined such that the discrete Fourier spectrum exists only at discrete frequencies. Each OFDM carrier corresponds to one element of this discrete Fourier spectrum. The amplitudes and phases of the carriers depend on the data to be transmitted. The data transitions are synchronized for all carriers, and can be processed together, symbol by symbol.. 2.2. OFDMA System. The basic idea of OFDMA is OFDM based frequency division multiple access. In OFDM, a channel is divided into carriers which are used by one user at any time. In OFDMA, the carriers are divided into subchannels. Each subchannel has multiple carriers that form one unit in frequency allocation. In the downlink, a subchannel may be intended for more than one receiver (user). In the uplink, a transmitter (user) may be assigned one or more subchannels, and several transmitters may transmit in parallel. By this way, the bandwidth can be allocated dynamically to the users according to their needs. An additional advantage of OFDMA is the following. Due to the large variance in a mobile system’s path loss, inter-cell interference is a common issue in mobile wireless systems. An OFDMA system can be designed such that subchannels can be composed from several distinct permutations of subcarriers. This enables significant reduction in inter-cell. 9.

(30) Figure 2.4: Comparison of OFDM and OFDMA subcarriers allocation (from [12]).. interference when the system is not fully loaded, because even on occasions where the same subchannel is used at the same time in two different cells, there is only a partial collision on the actual sub-carriers. A simple comparison of the subcarrier allocation of OFDM and OFDMA is shown in Fig. 2.4. In order to support multiple users, the control mechanism becomes more complex. Besides, the OFDMA system has some implementation issues which are more complicated to handle. For example, power control is needed for the uplink to make signals from different users have equal power at the receiver, and all users have to adjust their transmitting time to be aligned. We shall address some issues in the context of IEEE 802.16e.. 10.

(31) Chapter 3 Overview of the IEEE 802.16e Standard This chapter gives an overview of the IEEE 802.16e OFDM and OFDMA systems, and the main references are clauses of [2] and [3]. For the sake of simplicity, we only introduce the specifications that we must used in our study. Other specifications like channel coding, MAP messages, transmit diversity, etc., are not our concern, so we ignore these parts in our introduction. For more details we refer the readers to [2] and [3]. We describe the transmit spectral mask here, and introduce the square-root raised cosine (SRRC) filter used for shaping of the power spectrum and avoiding of the ISI (intersymbol interference).. 3.1. Introduction to IEEE 802.16 [11]. Wireless local-area-networks (WLAN) based on the IEEE 802.11 standards have been widely deployed and used in airports, offices and homes. Building on this success, the IEEE 802.16 standard approved in 2001 specifies the air interface and MAC protocol for wireless metropolitan area networks (MANs). The idea there is to provide broadband wireless access to buildings through external antennas communicating with radio base stations (BSs). To overcome the disadvantage of the LOS requirement between transmitters and receivers 11.

(32) in the 802.16 standard, the 802.16a standard was approved in 2003 to support NLOS links, operational in both licensed and unlicensed frequency bands from 2 to 11 GHz, and subsequently revised to create the 802.16d standard (now code-named 802.16-2004). With such enhancements, the 802.16-2004 standard has been viewed as a promising alternative for providing the last-mile connectivity by radio link. However, the 802.16-2004 specification was devised primarily for fixed wireless users. The 802.16e committee was subsequently formed with the goal of extending the 802.16-2004 standard to support mobile terminals. The IEEE 802.16e has been published in Febuary 2006, it specifies four air interfaces: WirelessMAN-SC PHY, WirelessMAN-SCa PHY, WirelessMAN-OFDM PHY, and WirelessMANOFDMA PHY. What we are interested in in this study are WirelessMAN-OFDM uplink and WirelessMAN-OFDMA downlink.. 3.2. WirelessMAN-OFDM TDD Uplink [3]. The WirelessMAN-OFDM PHY is based on OFDM modulation and designed for NLOS operation in frequency bands below 11 GHz.. 3.2.1. OFDM Symbol Parameters. The parameters of the transmitted OFDM signal are given in Table 3.1, and some parameter definitions are listed below. • BW : Nominal channel bandwidth. • Nused : Number of used subcarriers. • n: Sampling factor. This parameter, in conjunction with BW and Nused , determines the subcarrier spacing and the useful symbol time.. 12.

(33) Table 3.1: OFDM Symbol Parameters Parameter NF F T Nused G Number of lower frequency guard subcarriers Number of higher frequency guard subcarriers Frequency offset indices of guard subcarriers Frequency offset indices of pilot carriers. n. Value 256 200 1/4, 1/8, 1/16, 1/32 28 27 -128,-127...,-101,+101,+102...,+127 -88,-63,-38,-13,13,38,63,88 For channel bandwidths that are a multiple of 1.75 MHz then n = 8/7, else for channel bandwidths that are a multiple of 1.5 MHz then n = 86/75, else for channel bandwidths that are a multiple of 1.25 MHz then n = 144/125, else for channel bandwidths that are a multiple of 2.75 MHz then n = 316/275, else for channel bandwidths that are a multiple of 2.0 MHz then n = 57/50, else for channel bandwidths not otherwise specified then n = 8/7. • G: Ratio of CP time to useful time. • NF F T : Smallest power of two greater than Nused . • Sampling frequency: Fs = bn · BW/8000c × 8000. • Subcarrier spacing: ∆f = Fs /NF F T . • Useful symbol time: Tb = 1/∆f . • Cyclic prefix (CP) time: Tg = G · Tb . • OFDM symbol time: Ts = Tb + Tg . • Sampling time: Tb /NF F T .. 13.

(34) 3.2.2. Point-to-Multipoint (PMP) Frame Structure. In licensed bands, the duplexing method shall be either frequency division duplex (FDD) or TDD. FDD SSs may be half-duplex FDD (H-FDD). In licenseexempt bands, the duplexing method shall be TDD. In our study we used only TDD duplexing method. The frame interval contains transmissions (PHY PDUs, where PDU stands for payload data unit) of BS and SSs, gaps and guard intervals. The OFDM PHY supports a frame-based transmission. A frame consists of a downlink subframe and an uplink subframe. A downlink subframe consists of only one downlink PHY PDU. An uplink subframe consists of contention intervals scheduled for initial ranging and bandwidth request purposes and one or multiple uplink PHY PDUs, each transmitted from a different SS. A downlink PHY PDU starts with a long preamble, which is used for PHY synchronization. The preamble is followed by a frame control header (FCH) burst. The FCH burst is one OFDM symbol long and is transmitted using BPSK rate 1/2 with the mandatory coding scheme. The FCH contains DL Frame Prefix to specify burst profile and length of one or several downlink bursts immediately following the FCH. A DL-MAP message, if transmitted in the current frame, shall be the first MAC PDU in the burst following the FCH. An UL-MAP message shall immediately follow either the DL-MAP message (if one is transmitted) or the downlink frame prefix (DLFP). If uplink channel descriptor (UCD) and downlink channel descriptor (DCD) messages are transmitted in the frame, they shall immediately follow the DL-MAP and UL-MAP messages. Although burst 1 contains broadcast MAC control messages, it is not necessary to use the most robust well-known modulation and coding. A more efficient modulation and coding may be used if it is supported and applicable to all the SSs of a BS.. 14.

(35) The FCH is followed by one or multiple downlink bursts. Each downlink burst consists of an integer number of OFDM symbols. With the OFDM PHY, a PHY burst, either a downlink PHY burst or an uplink PHY burst, consists of an integer number of OFDM symbols, carrying MAC messages, i.e., MAC PDUs. To form an integer number of OFDM symbols, unused bytes in the burst payload may be padded by the bytes 0xFF. Then the payload should be randomized, encoded, and modulated using the burst PHY parameters specified by this standard. In each TDD frame (see Fig. 3.1), the transmit/receive transition gap (TTG) and receive/transmit transition gap (RTG) shall be inserted between the downlink and uplink subframe and at the end of each frame, respectively, to allow the BS to turn around.. Figure 3.1: OFDM frame structure with TDD (from [2]).. 15.

(36) 3.2.3. Modulation. Data Modulation After bit interleaving, the data bits are entered serially to the constellation mapper. The employed modulations are BPSK, Gray-mapped QPSK, 16-QAM, and 64-QAM, whereas the support of 64-QAM is optional for license-exempt bands. The constellations shall be normalized by multiplying the constellation point with the factor c (1 for BPSK, QPSK,. √1 10. for 16-QAM, and. √1 42. √1 2. for. for 64-QAM) to achieve equal average power.. The constellation-mapped data shall be subsequently modulated onto all allocated data subcarriers in order of increasing frequency offset index. The first symbol out of the data constellation mapping shall be modulated onto the allocated subcarrier with the lowest frequency offset index. Pilot Modulation Pilot subcarriers shall be inserted into each data burst in order to constitute the symbol and they shall be modulated according to their carrier location within the OFDM symbol. The pseudo-random binary sequence (PRBS) generator depicted in Fig. 3.2 shall be used to produce a sequence, wk . The polynomial for the PRBS generator is X 11 + X 9 + 1. The value of the pilot modulation for OFDM symbol k is derived from wk . On the downlink the index k represents the symbol index relative to the beginning of the downlink subframe. On the uplink the index k represents the symbol index relative to the beginning of the burst. On both uplink and downlink, the first symbol of the preamble is denoted by k = 0. The initialization sequences that shall be used on the downlink and uplink are also shown in Fig. 3.2. For each pilot (indicated by frequency offset index), the BPSK modulation shall be derived as follows:. 16.

(37) Figure 3.2: PRBS generator for pilot modulation (from [3]).. DL: c−88 = c−38 = c63 = c88 = 1 − 2wk and c−63 = c−13 = c13 = c38 = 1 − 2wk , UL: c−88 = c−38 = c13 = c38 = c63 = c88 = 1 − 2wk and c−63 = c−13 = 1 − 2wk .. 3.2.4. Preamble Structure and Modulation. Both DL subframe and UL subframe have the preamble as their first symbol, here we only introduce the UL preamble. In the uplink, when the entire 16 subchannels are used (which is the assumption in our work), the data preamble, as shown in Fig. 3.3 consists of one OFDM symbol utilizing only even subcarriers. The time domain waveform consists of two times 128 samples preceded by a CP. The subcarrier values shall be set according to the sequence PEV EN . This preamble is referred to as the short preamble. This preamble shall be used as burst preamble on the downlink bursts when indicated in the DL-MAP IE. The frequency domain sequence for the two times 128 sequence PEV EN is defined by: ½√ PEV EN (k) =. 2 · PALL (k), kmod2 = 0, 0, kmod2 6= 0.. (3.1). √ The factor of 2 gives a 3 dB boost. Please see [2] for the values of the sequence PALL . 17.

(38) Figure 3.3: Short preamble tmie domain structure (from [3]).. 3.2.5. Frequency and Timing Requirements. Knowing the frequency and timing requirements is very important for synchronization work. At the BS, the transmitted center frequency, receive center frequency and the symbol clock frequency shall be derived from the same reference oscillator. At the BS, the reference frequency tolerance shall be better than ±8 × 10−6 in licensed bands up to 10 years from the date of equipment manufacture. At the SS, both the transmitted center frequency and the symbol sampling clock frequency shall be synchronized and locked to the BS with a tolerance of maximum 2% of the subcarrier spacing for the transmitted center frequency, and 5 ppm for the sampling clock frequency. During the synchronization period, the SS shall acquire frequency synchronization within the specified tolerance before attempting any uplink transmission. During normal operation, the SS shall track the frequency changes and shall defer any transmission if synchronization is lost. All SSs shall acquire and adjust their timing such that all uplink OFDM symbols arrive time coincident at the BS to an accuracy of ±50% of the minimum guard-interval or better, which means ±4 samples or better.. 18.

(39) Figure 3.4: OFDMA frequency description (3-channel schematic example, from [2]).. 3.3. WirelessMAN-OFDMA TDD Downlink [3]. The specification of OFDMA system is much more complex than the OFDM system. In the OFDMA mode, the active subcarriers are divided into subsets of subcarriers, each subset is termed a subchannel. In the downlink, a subchannel may be intended for different (groups of) receivers; in the uplink, a transmitter may be assigned one or more subchannels, several transmitters may transmit simultaneously. The subcarriers forming one subchannel may, but need not be adjacent. The concept is shown in Fig. 3.4.. 3.3.1. OFDMA Basic Terms. Some basic terms we introduce below only appear in OFDMA PHY. These definitions may help readers to understand the concepts of subcarrier allocation of IEEE 802.16e OFDMA. Slot and Data Region The definition of an OFDMA slot depends on the OFDMA symbol structure, which varies for uplink and downlink, for FUSC and PUSC, and for the distributed subcarrier permutations and the adjacent subcarrier permutation. • For downlink FUSC and downlink optional FUSC using the distributed subcarrier. 19.

(40) Figure 3.5: Example of the data region which defines the OFDMA allocation (from [2]).. permutation, one slot is one subchannel by one OFDMA symbol. (PUSC and FUSC will defined later.) • For downlink PUSC using the distributed subcarrier permutation, one slot is one subchannel by two OFDMA symbols. • For uplink PUSC using either of the distributed subcarrier permutations, one slot is one subchannel by three OFDMA symbols. In OFDMA, a Data Region is a two-dimensional allocation of a group of contiguous subchannels, in a group of contiguous OFDMA symbols. All the allocations refer to logical subchannels. This two dimensional allocation may be visualized as a rectangle, such as the 4×3 rectangle shown in Fig. 3.5. Segment A Segment is a subdivision of the set of available OFDMA subchannels (that may include all available subchannels). One segment is used for deploying a single instance of the MAC.. 20.

(41) Permutation Zone Permutation Zone is a number of contiguous OFDMA symbols, in the DL or the UL, that use the same permutation formula. The DL subframe or the UL subframe may contain more than one permutation zone.. 3.3.2. OFDMA Symbol Parameters. All parameters have the same definitions as in OFDM PHY. We do not list them here, but only give their values in later sections.. 3.3.3. Frame Structure. Duplexing Modes In licensed bands, the duplexing method shall be either FDD or TDD. FDD SSs may be H-FDD. In license-exempt bands, the duplexing method shall be TDD. PMP Frame Structure See Fig. 3.6; when implementing a TDD system, the frame structure is built from BS and SS transmissions. Each frame in the downlink transmission begins with a preamble followed by a DL transmission period and an UL transmission period. In each frame, the TTG and RTG shall be inserted between the downlink and uplink and at the end of each frame, respectively, to allow the BS to turn around. Subchannel allocation in the downlink may be performed in the following ways: Partial usage of subchannels (PUSC) where some of the subchannels are allocated to the transmitter, and full usage of the subchannels (FUSC) where all subchannels are allocated to the transmitter. The downlink frame shall start in PUSC mode with no transmit diversity. The. 21.

(42) Figure 3.6: Example of an OFDMA frame (with only mandatory zone) in TDD mode (from [3]).. FCH shall be transmitted using QPSK rate 1/2 with four repetitions using the mandatory coding scheme (i.e., the FCH information will be sent on four subchannels with successive logical subchannel numbers) in a PUSC zone. The FCH contains the DL Frame Prefix, and specifies the length of the DL-MAP message that immediately follows the DL Frame Prefix and the repetition coding used for the DL-MAP message. The transitions between modulations and coding take place on slot boundaries in time domain (except in AAS zone, where AAS stands for adaptive antenna system) and on subchannels within an OFDMA symbol in frequency domain. The OFDMA frame may include multiple zones (such as PUSC, FUSC, PUSC with all subchannels, optional FUSC, AMC, TUSC1, and TUSC2, where AMC stands for adaptive modulation and coding, and TUSC stands for tile usage of subchannels), the transition between zones is indicated in the DLMap. The PHY parameters (such as channel state and interference levels) may change from 22.

(43) one zone to the next. The maximum number of downlink zones is 8 in one downlink subframe. For each SS, the maximum number of bursts to decode in one downlink subframe is 64. This includes all bursts without connection identifier (CID) or with CIDs matching the SS’s CIDs. Allocation of Subchannels for FCH and DL-MAP, and Logical Subchannel Numbering In PUSC, any segment used shall be allocated at least the same number of subchannels as in subchannel group #0. For FFT sizes other than 128, the first 4 slots in the downlink part of the segment contain the FCH as defined before. These slots contain 48 bits modulated by QPSK with coding rate 1/2 and repetition coding of 4. For FFT-128, the first slot in the downlink part of the segment is dedicated to FCH and repetition is not applied. The basic allocated subchannel sets for Segments 0, 1, and 2 are subchannel group #0, #2, and #4, respectively. Fig. 3.7 depicts this structure. After decoding the DL Frame Prefix message within the FCH, the SS has the knowledge of how many and which subchannels are allocated to the PUSC segment. In order to observe the allocation of the subchannels in the downlink as a contiguous allocation block, the subchannels shall be renumbered. The renumbering, for the first PUSC zone, shall start from the FCH subchannels (renumbered to values 0–11), then continue numbering the subchannels in a cyclic manner to the last allocated subchannel and from the first allocated subchannel to the FCH subchannels. Fig. 3.8 gives an example of such renumbering for segment 1. For uplink, in order to observe the allocation of the subchannels as a contiguous allocation block, the subchannels shall be renumbered, and the renumbering shall start from the lowest numbered allocated subchannel (renumbered to value 0), up to the highest numbered allocated subchannel, skipping nonallocated subchannels.. 23.

(44) Figure 3.7: FCH subchannel allocation for all 3 segments (from [3]).. The DL-MAP of each segment shall be mapped to the slots allocated to the segment in a frequency first order, starting from the slot after the FCH (subchannel 4 in the first symbol, after renumbering), and continuing to the next symbols if necessary. The FCH of segments that have no subchannels allocated (unused segments) will not be transmitted, and the respective slots may be used for transmission of MAP and data of other segments.. 3.3.4. OFDMA Downlink Subcarrier Allocation. Here we only describe DL subcarrier allocation since our study of OFDMA PHY is only on DL. For both uplink and downlink, these used subcarriers are allocated to pilot subcarriers and data subcarriers. However, there is a difference between the different possible zones. For FUSC and PUSC, in the downlink, the pilot tones are allocated first; what remains are data 24.

(45) Figure 3.8: Example of DL renumbering the allocated subchannels for segment 1 in PUSC (from [3]).. subcarriers, which are divided into subchannels that are used exclusively for data. Thus, in FUSC, there is one set of common pilot subcarriers, and in PUSC of the downlink, there is one set of common pilot subcarriers in each major group. The downlink can be divided into a three segment structure and includes a preamble which begins the transmission. In this preamble, subcarriers are divided into three carriersets. There are three possible groups consisting of a carrier-set each, that may be used by any segment.. 25.

(46) Preamble The first symbol of the downlink transmission is the preamble. There are 3 types of preamble carriersets, which are defined by allocation of different subcarriers for each one of them. The subcarriers are modulated using a boosted BPSK modulation with a specific pseudo-noise (PN) code. The preamble carrier-sets are defined using P reambleCarrierSetn = n + 3 · k. (3.2). where: P reambleCarrierSetn specifies all subcarriers allocated to the specific preamble, n is the number of the preamble carrier-set indexed 0–2, k is a running index 0–567. For the preamble symbol there will be 172 guard band subcarriers on the left side and the right side of the spectrum. Each segment uses a preamble composed of a carrier-set out of the three available carrier-sets in the following manner that segment i uses preamble carrier-set i, where i = 0, 1, 2. In the case of segment 0, the DC carrier will not be modulated at all and the appropriate PN will be discarded; therefore, DC carrier shall always be zeroed. Therefore, each segment eventually modulates each third subcarrier. The 114 different PN series modulating the preamble carrier-set are defined in Table 309 of [2] for the 2k FFT mode. The series modulated depends on the segment used and the IDcell parameter. Symbol Structure for PUSC The symbol is first divided into basic clusters and zero carriers are allocated. Pilots and data carriers are allocated within each cluster. Table 310 of [3] summarizes the parameters of the 26.

(47) Table 3.2: 2048-FFT OFDMA DL Carrier Allocation Under PUSC Parameter Number of DC subcarriers Number of guard subcarriers, left Number of guard subcarriers, right Number of used subcarriers, Nused Number of subcarriers per cluster Number of clusters Renumbering sequence. Value 1 184 183 1681 14 120 1. Number of data subcarriers in each symbol per subchannel Number of subchannels Basic permutation sequence 12 (for 12 subchannels) Basic permutation sequence 8 (for 8 subchannels). 4. Comments Index 1024 (counting from 0). Including all possible pilots and DC. Used to renumber clusters before allocation to subchannels, see [3]. 60 6,9,4,8,10,11,5,2,7,3,1,0 7,4,0,2,1,5,3,6. symbol structure of different FFT sizes for PUSC mode. Here we only take the 2048-FFT OFDMA downlink carrier allocation for example, and it is summarized in Table 3.2. Fig. 3.9 depicts the cluster structure. Downlink Subchannels Subcarrier Allocation in PUSC The carrier allocation to subchannels is performed using the following procedure: 1. Dividing the subcarriers into the number of clusters (Nclusters ), where the physical clusters contain 14 adjacent subcarriers each (starting from carrier 0). The number of clusters varies with the FFT size. 2. Renumbering the physical clusters into logical clusters using the following formula:. 27.

(48) Figure 3.9: Cluster structure (from [3]).. LogicalCluster =    RenumberingSequence(P hysicalCluster), . First DL zone, or Use All SC indicator = 0 in STC DL Zone IE, RenumberingSequence((P hysicalCluster)+ Otherwise.    13 · DL P ermBase)modNclusters , (3.3) In the first PUSC zone of the downlink (first downlink zone) and in a PUSC zone defined by STC DL ZONE IE() with “use all SC indicator = 0”, the default re-numbering sequence is used for logical cluster definition. For all other cases DL PermBase parameter in the STC DL Zone IE() or AAS DL IE() shall be used. 3. Allocating logical clusters to groups. The allocation algorithm varies with FFT sizes. For FFT size = 2048, dividing the clusters into six major groups. Group 0 includes clusters 0–23, group 1 clusters 24–39, group 2 clusters 40–63, group 3 clusters 64–79, group 4 clusters 80–103, and group 5 clusters 104–119. These groups may be allocated to segments; if a segment is being used, then at least one group shall be allocated to it. By default group 0 is allocated to sector 0, group 2 to sector 1, and group 4 to sector 2). 4. Allocating subcarriers to subchannels in each major group, which is performed separately for each OFDMA symbol by first allocating the pilot carriers within each cluster, 28.

(49) Table 3.3: 2048-FFT OFDMA DK Carrier Allocation Under FUSC Parameter Number of DC subcarriers Number of guard subcarriers, left Number of guard subcarriers, right Number of used subcarriers, Nused Pilot sets Number of data subcarriers Number of data subcarriers per subchannel Number of Subchannels Basic permutation sequence. Value 1 173 172 1703 166 1536 48 32. Comments Index 1024 (counting from 0). Including all possible pilots and DC See Table 311 of [3]. 3,18,2,8,16,10,11,15,26,22,6,9,27,20,25,1,29, 7,21,5,28,31,23,17,4,24,0,13,12,19,14,30. and then taking all remaining data carriers within the symbol and using the same procedure described in the next subsection (Symbol Structure for FUSC). The parameters vary with FFT sizes. For FFT size = 2048, use the parameters from Table 3.2, with basic permutation sequence 12 for even numbered major groups and basic permutation sequence 8 for odd numbered major groups, to partition the subcarriers into subchannels containing 24 data subcarriers in each symbol. Symbol Structure for FUSC The symbol structure is constructed using pilots, data, and zero subcarriers. The symbol is first allocated with the appropriate pilots and with zero subcarriers, and then all the remaining subcarriers are used as data subcarriers (which are divided into subchannels). There are two variable pilot-sets and two constant pilot-sets. In FUSC, each segment uses both sets of variable/constant pilot-sets. We only summarize the parameters of 2048-FFT OFDMA in Table 3.3. The Variable set of pilots embedded within the symbol of each segment shall obey the. 29.

(50) following rule: P ilotLocation = V ariableSet#x + 6 · (F U SC SymbolN umber mod 2). (3.4). where FUSC SymbolNumber counts the FUSC symbols used in the current zone starting from 0. Downlink Subchannels Subcarrier Allocation Each subchannel is composed of 48 subcarriers. The subchannel indices are formulated using a Reed-Solomon series, and is allocated out of the data subcarriers domain. The data subcarriers domain includes 48 × 32 = 1536 subcarriers. After mapping all pilots, the remainder of the used subcarriers are used to define the data subchannels. To allocate the data subchannels, the remaining subcarriers are partitioned into groups of contiguous subcarriers. Each subchannel consists of one subcarrier from each of these groups. The number of groups is therefore equal to the number of subcarriers per subchannel, and it is denoted Nsubcarriers . The number of the subcarriers in a group is equal to the number of subchannels, and it is denoted Nsubchannels . The number of data subcarriers is thus equal to Nsubcarriers · Nsubchannels . The exact partitioning into subchannels is according to the permutation formula: subcarrier(k, s) = Nsubchannels · nk + {ps [nk mod Nsubchannels ] + DL P ermBase} mod Nsubcahnnels where: subcarrier(k, s) is the subcarrier index of subcarrier k in subchannel s, s is the index number of a subchannel, from the set {0,...,Nsubchannels − 1}, 30. (3.5).

(51) nk = (k + 13 · s) mod Nsubcarriers , where k is the subcarrier-in-subchannel index from the set {0,...,Nsubcarriers − 1}, Nsubchannels is the number of subchannels (for PUSC use number of subchannels in the currently partitioned major group), ps [j] is the series obtained by rotating basic permutation sequence cyclically to the left s times, DL PermBase is an integer ranging from 0 to 31, which is set to the preamble IDCell in the first zone and determined by the DL-MAP for other zones. On initialization, an SS must search for the downlink preamble. After finding the preamble, the user shall know the IDcell used for the data subchannels.. 3.3.5. Modulation. Subcarrier Randomization The PRBS generator, as known in Fig. 3.2, shall be used to produce a sequence wk . The value of the pilot modulation on subcarrier k shall be derived from wk . The initialization vector of the PRBS generator for both uplink and downlink shall be designated b10..b0, such that: b0..b4 = five least significant bits of IDcell as indicated by the frame preamble in the first downlink zone and in the downlink AAS zone with Diversity Map support, DL PermBase following STC DL Zone IE() and 5 LSB of DL PermBase following AAS DL IE without Diversity Map support in the downlink. Five least significant bits of IDcell (as determined by the preamble) in the uplink. For downlink and uplink, b0 is MSB and b4 is LSB, respectively. 31.

(52) b5..b6 = set to the segment number + 1 as indicated by the frame preamble in the first downlink zone and in the downlink AAS zone with Diversity Map support, PRBS ID as indicated by the STC DL Zone IE or AAS DL IE without Diversity Map support in other downlink zone. 0b11 in the uplink. For downlink and uplink, b5 is MSB and b6 is LSB, respectively. b7..b10 = 0b1111 (all ones) in the downlink and four LSB of the Frame Number in the uplink. For downlink and uplink, b7 is MSB and b10 is LSB, respectively. Data Modulation After the repetition block, the data bits are entered serially to the constellation mapper. Gray-mapped QPSK and 16-QAM shall be supported, whereas the support of 64-QAM is optional. The Gray-mapped modulations are the same as modulations in OFDM PHY. Pilot Modulation In all permutations except uplink PUSC and downlink TUSC1, each pilot shall be transmitted with a boosting of 2.5 dB over the average non-boosted power of each data tone. The pilot subcarriers shall be modulated according to: <{ck } = 38 ( 12 − wk ) · pk , ={ck } = 0.. (3.6). where pk is the pilot’s polarity for SDMA (stands for spatial division multiple access) allocations in AMC AAS zone, and p = 1 otherwise. Preamble Pilot Modulation The pilots in the downlink preamble shall be modulated according to: <{P reambleP ilotM odulation} = 4 · 32. √. 1 2 · ( − wk ), 2. (3.7).

(53) ={P reambleP ilotM odulation} = 0.. 3.3.6. (3.8). Frequency and Timing Requirements. Timing Requirements For any duplexing, all SSs shall acquire and adjust their timing such that all uplink OFDMA symbols arrive time coincident at the BS to a accuracy of ±25% of the minimum guardinterval or better. This translates into ±16 samples in the case of 2048-FFT OFDMA. Frequency Requirements At the BS, the transmitted center frequency, receive center frequency, and the symbol clock frequency shall be derived from the same reference oscillator. At the BS, the reference frequency accuracy shall be better than ±2 × 10−6 . At the SS, both the transmitted center frequency and the sampling frequency shall be derived from the same reference oscillator. Thereby, the SS uplink transmission shall be locked to the BS, so that its center frequency shall deviate no more than 2% of the subcarrier spacing, compared to the BS center frequency. During the synchronization period, the SS shall acquire frequency synchronization within the specified tolerance before attempting any uplink transmission. During normal operation, the SS shall track the frequency changes by estimating the downlink frequency offset and shall defer any transmission if synchronization is lost. To determine the transmit frequency, the SS shall accumulate the frequency offset corrections transmitted by the BS (for example in the RNG-RSP message), and may add to the accumulated offset an estimated UL frequency offset based on the downlink signal.. 33.

(54) Figure 3.10: Transmit spectral mask (from [2]).. Table 3.4: Transmit Sprctral Mask Bandwidth (MHz) A B C D 10 9.5 10.9 19.5 29.5 20 4.75 5.45 9.75 14.75. 3.4. Transmit Spectral Mask. Due to requrement of bandwidth-limited transmission, the transmitted spectral density of the transmitted signal shall fall within the spectral mask as shown in Fig. 3.10 and Table 3.4 in license-exempt bands. The measurements shall be made using 100 kHz resolution bandwidth and a 30 kHz video bandwidth. The 0 dBr level is the maximum power allowed by the relevant regulatory body. IEEE 802.16e dose not specify the power mask for the license bands.. 34.

(55) 3.5. System Parameters. The standard is very flexible in choice of bandwidth and cyclic prefix length. However, it would be difficult to conduct the simulation and implementation study without a particular set of parameters. Hence we pick the set of parameters shown in this section.. 3.5.1. Uplink OFDM Transmission Parameters. There are a number of system profiles defined in IEEE 802.16e standard, each characterized by five components: a MAC profile, a PHY profile, a RF profile, a duplexing selection, and a power class. The system profile we choose is PMP, WirelessHUMAN(-OFDM) PHY profile with 10 MHz channelization, TDD, and SISO operation for the uplink OFDM transmission. We assume a carrier frequency of 5GHz. Knowing the bandwidth, we can compute the other parameters as given in the last chapter: • BW : 10 MHz (license-exempt band usage only). • n: 57/50. • G: 8. • Sampling Frequency: 11.4 MHz. • Subcarrier spacing: 44.53125 kHz. • Useful symbol time: 22 29 µs. • CP time: 2 79 µs. • OFDM symbol time: 25 µs. • Sampling time: 86.81 ns. 35.

(56) Encoded data data modulation. Symbol index. subcarrier allocation. IFFT. add cyclic prefix. 4X upsample. LPF (SRRC filter). channel. preamble generate (if symbol index=0). pilot generator. Figure 3.11: Transmitter components that are related to synchronization.. LPF (SRRC filter). 4X downsample. To channel estimator Synchronizer. FFT. Figure 3.12: Receiver components that are related to synchronization.. The modulation could be BPSK, QPSK, 16-QAM, or 64-QAM by random generated binary data. The frame duration could be 5, 10, or 20 ms. The transmitter and receiver components that are related to synchronization are shown in Figs. 3.11 and 3.12. In actual simulation, we may use an interpolator to change the sampling rate by an appropriate factor. In our study, we upsample by 4.. 3.5.2. Downlink OFDMA Transmission Parameters. Like OFDM PHY, the OFDMA PHY also defines system profiles for systems operating with the WirelessMAN-OFDMA and WirelessHUMAN-OFDMA air interfaces. The system profile we select is PMP, WirelessHUMAN(-OFDMA) 10 MHz channel basic PHY profile, TDD, and SISO operation for the downlink OFDM transmission. The FFT size is 2048, and the carrier frequency is 3.5 GHz. We choose the PUSC permutation in our simulation, and use segment 0 with subchannel 0–19 to allocate data subcarriers. The modulation could be QPSK, 16-QAM, or 64-QAM by random generated binary data.. 36.

(57) The frame duration could be 2.5, 5, or 8 ms. Other parameter values are as follows: • BW : 10 MHz (license-exempt band usage only). • n: 28/25. • G: 8. • Sampling frequency: 11.2 MHz. • Subcarrier spacing: 5.46875 kHz. • Useful symbol time: 182.8571 µs. • CP time: 22.8571 µs. • OFDM symbol time: 205.7143 µs. • Sampling time: 89.2587 ns. The transceiver components of the OFDMA downlink system are very similar to the OFDM uplink system, so we do not show them again, but refer the reader to Figs. 3.11 and 3.12.. 3.6. Transmission Filters [7]. Reference [5] contains a detailed discussion on how to choose a suitable SRRC (square-root raised cosine) transmission filter. We use the filter designed in [5] directly. Below we give a simple introduction based on [5]. To avoid the complexity of an ideal lowpass filter and to simulate path delays at noninteger sample times, an interpolator is added to the transmitter to yield 4-times oversampled. 37.

(58) transmitter output. The SRRC filter is used as the lowpass interpolation filter. The impulse response of this filter is given by ³ ´ ³ ´ t t t sin π Tsample (1 − α) + 4α Tsample cos π Tsample (1 + α) ³ ´ SRRC(t) = , t t 2 π Tsample 1 − (4α Tsample ) where α is the roll-off factor. One reason for adopting the SRRC filter is that for this filter the transmitter and the receiver filters are matched to each other and there is no inter-sample interference introduced by the filter when fully synchronized. The roll-off factor chosen is 0.155 which results in a filter of 57 taps, which is chosen to satisfy the power mask specified in 802.16a [5].. 38.

(59) Chapter 4 Introduction to the DSP Implementation Platform In this chapter, we introduce the DSP platform utilized in our implementation. The platform includes a DSP chip, and Texas Instruments (TI)’s code development environment. Note that although we just perform software implementation of the OFDM PHY UL system, we also need to know something about the DSP hardware environment. This chapter is mainly taken form chapters 3 and 4 of [7].. 4.1. The DSP Chip [16]. The DSP chip on the load, TI’s TMS320C6416, employs the “VelociTI” architecture, a variant of the traditional VLIW architecture, which consists of multiple execution units running in parallel, performing multiple instructions during one cycle time. It is a 32-bit fixed-point DSP, with processing speed at 600 MHz, delivering 4800 MIPS. The C6416 core CPU, which is shown in Fig. 4.1, consists of 64 general-purpose 32-bit registers and eight functional units. These eight functional units contain two multipliers and six arithmetic units. It allows users to develop highly effective RISC-like code for fast development time. 39.

(60) Figure 4.1: Functional block and CPU (DSP core) diagram [15].. The C6416 uses a two-level cache-based architecture with 16 kB of L1 data cache, 16 kB of L1 program cache, and 1 MB of L2 data/program cache. On-chip peripherals include two multichannel buffered serial ports (McBSPs), two timers, a 16-bit host port interface (HPI), a 32-bit external memory interface (EMIF), a direct memory access (DMA) controller and an enhanced direct memory access (EDMA) controller. The following gives some sketch of the units just mentioned above:. • The EDMA controller transfers data between the memory without passing through the DSP core. 40.

(61) • McBSPs can buffer serial samples in memory automatically with the aid of the DMA/ EDMA controller. • HPI is a parallel port through which a host processor can directly access the CPU’s memory space. • EMIF provides the interface for the DSP core to connect with several external devices, allowing additional data and program space. The C6416 has two 64-bit internal ports to access internal data memory. It supports double word loads and stores. There are four 32-bit paths for loading/storing data from memory to the register file. C6416 has two register files (A and B), each containing 32 32-bit registers for a total of 64 general-purpose registers. The general-purpose registers can be used for data, data address pointers, or condition registers. The C6416 register file supports packed 8-bit types and 64-bit fixed-point data types. Packed data types store either four 8-bit values or two 16-bit values in a single 32-bit register, or four 16-bit values in a 64-bit register pair. Note that the C6416 does not directly support floating-point data types. The eight functional units in the C6416 data paths can be divided into two groups of four; each functional unit in one data path is almost identical to the corresponding unit in the other data path. The two sets of functional units, along with two register files, compose sides A and B of the DSP core. Fig. 4.2 illustrates the C6416 DSP CPU. From this figure, we see that the C6416 CPU contains:. • Program fetch unit. • Instruction dispatch unit, with advanced instruction packing. • Instruction decode unit.. 41.

參考文獻

相關文件

Stage l1c* Tumor either stage lla or llb but with tumor on the surface of one or both ovaries; or with capsule(s) ruptured; or with ascites present containing malignant cells or

6 《中論·觀因緣品》,《佛藏要籍選刊》第 9 冊,上海古籍出版社 1994 年版,第 1

Reading Task 6: Genre Structure and Language Features. • Now let’s look at how language features (e.g. sentence patterns) are connected to the structure

Ss produced the TV programme Strategy and Implementation: MOI Arrangement 2009-2010 Form 2.. T introduced five songs with the

Besides, although the elements of STEM education are embedded in individual KLAs of Science, Technology and Mathematics Education of the local school curriculum, the coherence

Without using ruler, tearing/cutting of paper or drawing any line, use the square paper provided (Appendix A) to fold the figure with the same conditions as figure 8b, but the area

Although many excellent resource synchronization protocols have been pro- posed, most of them are either for hard real-time task scheduling with the maxi- mum priority inversion

For MIMO-OFDM systems, the objective of the existing power control strategies is maximization of the signal to interference and noise ratio (SINR) or minimization of the bit