• 沒有找到結果。

小型三相無刷直流風扇馬達無感測控制晶片研製

N/A
N/A
Protected

Academic year: 2021

Share "小型三相無刷直流風扇馬達無感測控制晶片研製"

Copied!
88
0
0

加載中.... (立即查看全文)

全文

(1) 

(2)    

(3)  Design and Implementation of a Sensorless Control Chip for a Small Three-Phase Brushless DC Fan Motor.   !" .

(4)  

(5) .  ! "#$ %&'()*+, -./0%123456789:;"<=>?@AB .CDEFGHIJKLMNOPQRSTUV W XYQRZ[!\]^X_`ab"cdeGfg% h.ijP%h!klm%h]^no.pqr.stuFpvwxW% hyz{X !Z[|}~€‚.ƒo. „.tg!% †„.:‡.ˆ‰.Š‹ŒŽ‘’“ ”bF•)–7—˜™" š›œ`žŸ ¡¢£¤¥¢¦”bF§¨ –7©ª«¬­QR®¯"#°]Y±QR²³´`!< ž§µ.N¶ h^·€>?¸Ÿ".

(6) 

(7)   Design and Implementation of a Sensorless Control Chip for a Small Three-Phase Brushless DC Fan Motor.  ¹ º»¼   :

(8)  cd. Student Advisor. ¹ ¹. ½¾¿ÀÁ% ÂÃ!ÄÅÆ{%Ç ÈdQR A Thesis Submitted to Department of Electrical and Control Engineering National Chiao Tung University in partial Fulfillment of the Requirements for the Degree of Master in Electrical and Control Engineering June 2005 Hsinchu, Taiwan, Republic of China. ÉʽËÌÍÎÏ. Chi-Chang Cheng Dr. Shir-Kuan Lin.

(9)  

(10)    :  !" #$%&'()*+,-(.  YQRLМÑÒÓÔ2_ÕÖÒ×ØÙÚÛÜÝÞßWàá âÄÅãä"ÑåÛÜÝÞÁæÖçÚèéêëìœâí ( îïðñ ò ) Móâô“WõöXVØÕÖ÷ÛÜÝÞøˆî notebook<ùú ûü×ýþÿ ÛÜÝÞ×Øý.úý.©ý!-ý 4¤  #YR] 8Ø

(11) ñò (Field Programmable Gate Array FPGA) «Ö VHDL Ç !ý èé"#Ž$ÙÚá%3&ÛÜÝÞáâÄÅãä'()]*+ ÑåçÚÛÜÝÞÞ¤÷," èé&{] Visual C++ -.áâ/0ÃÅL\1^P 2] FPGA ãä34Â5âÂ6.ÝÞ/0^78Â6¬­ÙÚá %3&ÛÜÝÞáâÄÅÇZ9". i.

(12) Design and Implementation of a Sensorless Control Chip for a Small Three-Phase Brushless DC Fan Motor. Student : Meng-Hsun Hsieh. Advisor : Dr. Shir-Kuan Lin. Department of Electrical and Control Engineering National Chiao Tung University. ABSTRACT. This paper presents the development of a sensorless control chip for a small threephase brushless DC(BLDC) fan motor. The fan motors mostly adopt the single-phase design now. And they need a sensor to detect the rotor position. For various products applied to the fan motor, such as notebook, there is a trend to miniaturize. It is unavoidable to make fan motor miniaturization, light quantization, high performance and high precision. Therefore, in this thesis, a FPGA (Field Programmable Gate Array)based chip design is taken to implement a sensorless control chip conceptual core for three-phase BLDC fan motor drive, utilizing VHDL (Very High Speed Integrated Circuit(VHSIC) Hardware Description Language) modulation techniques and competence from system planning level opinion, improving the single-phase characteristic reached of fan motor at present. The proposed sensorless drive scheme is verified with the Visual C++ simulation first, and then is realized with FPGA-based chip collocating with voltage sensing circuit, motor drive and peripheral circuit for constructing a sensorless control system for a three-phase BLDC fan motor.. ii.

(13)  . i. . ii. . ii. . iv. . vii.

(14)  1.1 1.2 1.3 1.4 1.5. 1. 0Ã!Ñ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . :;!ÓÔ$< . . . . . . . . . . . . . . . . . . . . . . . . . . . i9!Ç . . . . . . . . . . . . . . . . . . . . . . . . . . . QR=´ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . QR>9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..   2.1. ÙÚá%3&ÝÞ²9. 1 2 7 8 9. 10 . . . . . . . . . . . . . . . . . . . . . . . . . iii. 10.

(15) Ñ? 2.2 2.3 2.4. iv. ÙÚá%3&ÝÞß% à . . . . . . . . . . . . . . . . . . . . . . ÙÚá%3&ÝÞ/0m@ . . . . . . . . . . . . . . . . . . . . . . ÙÚ!çÚá%3&ÝÞLAB . . . . . . . . . . . . . . . . . . . ..   !"#$ 3.1. 3.2 3.3. ßWàÚWCí . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.1 DE9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.2 š×FiGH9 . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.3 IJKL8Õ . . . . . . . . . . . . . . . . . . . . . . . . . . {à - . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MÙN Oèé . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. % &'()*+,-. 4.1 4.2. èéPQRST . . . . . . . . . . . . . . . . . . . . . . FPGA ÄÅãäLÇ>9 . . . . . . . . . . . . . . . . . . . . Quartus II. / 0123456!+ 7869:. 11 13 20. 28 28 28 30 32 35 38. 41 41 46. 64. 5.1. Ž>9. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 64. 5.2. ALTERA Stratix EP1S10 Nios Development Board . . . . . . . . . . .. 65. 5.3. Ž²³. 68. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. ; 76<=>? 6.1 6.2. ²Q . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UMÓÔ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 73 73 74.

(16)  1.1. ÙÚá%3&ÛÜÝÞáâÄÅÇ>9. . . . . . . . . . . . .. ÙÚá%3&ÝÞô“ (ROTOR) !V“ (STATOR) . . . . . . . 2.2 V“WX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3 θ ! θ WX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4 ÙÚá%3&ÝÞô“!V“ÔYX . . . . . . . . . . . . . . 2.5 çÚ K X ( Z[çW :degree\[çW :g*cm/A) . . . . . . . . . 2.6 ] ÀÚÂ& K X ( Z[çW :degree\[çW :g*cm/A) 2.7 120 -ÎEi^ô_`^ . . . . . . . . . . . . . . . . . . . . . . . 2.8 ] ÀÙÚÂ& K X ( Z[çW :degree\[çW :g*cm/A) 2.9 180 -ÎEi^ô_`^ . . . . . . . . . . . . . . . . . . . . . . . 2.10 çÚÛÜÝÞ/0í>9 ( aXbLØ>9cXçLØ >9 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.11 ÙÚá%3&ÝÞ/0í . . . . . . . . . . . . . . . . . . . . . . . . 2.12 çÚá%3&ÝÞ£dV“eOià ( aXfgeOcX [geO ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.13 ÙÚá%3&ÝÞ 120 -ÎEi^\

(17) ýô_`^X . . . . . 2.14 çÚá%3&ÝÞ\

(18) ýô_`^X . . . . . . . . . . . . . . . 2.15 çÚá%3&ÝÞÓhiô_X . . . . . . . . . . . . . . . . . 2.16 çÚá%3&ÝÞ hiô_X . . . . . . . . . . . . . . . . . 2.1. r. s. t. t. t. v. 8 11 12 14 15 16 17 18 19 20. 21 21. 22 22 23 24 25.

(19) XjÑ? 2.17 ÙÚá%3&ÝÞô_X . . . . . . . . . . . . . . . . . . . . . . 2.18 Û5Û&,kOX ( aX curve3  6248NH Û5Û&, kOcX curve2  6248NTD Û5Û&,kO ) . . . DE9l(WX . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2 š×FiGH9WX . . . . . . . . . . . . . . . . . . . . . . . . . 3.3 Â&igm u → w no÷ v → w ¡ Àpq . . . . . . . . . . . . 3.4 rst©l(WX . . . . . . . . . . . . . . . . . . . . . . . . . 3.5 ÝÞÂuv 30 -wxyDE9 . . . . . . . . . . . . . . . . . . . . . 3.6 ÝÞôz'+^qXyDE9 . . . . . . . . . . . . . . . . . . . . . 3.7 ÝÞÂuv 30 -wxyš×FiGH9 . . . . . . . . . . . . . . . 3.8 ÝÞôz'+^qXyš×FiGH9 . . . . . . . . . . . . . . . 3.9 {^-|}l(m@ . . . . . . . . . . . . . . . . . . . . . . . . . 3.10 Y§Â6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11 {^~3&Â5ôoí . . . . . . . . . . . . . . . . . . . . . . . . . 3.1. Pèé&{ . . . . . . . . . . . . . . . . . . . . . . . . . 4.2 Quartus II XqÖSö . . . . . . . . . . . . . . . . . . . . . . . . . 4.3 FPGA ÄÅãä£dÇ>9 . . . . . . . . . . . . . . . . . . . . 4.4 Y§ÄÅ !&{X . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.5 Y§ÄÅ ! -²³ . . . . . . . . . . . . . . . . . . . . . . . . . 4.6 VW^Y€6 !&{X . . . . . . . . . . . . . . . . . . . . . . . . 4.7 VW^Y€6 ! -²³ . . . . . . . . . . . . . . . . . . . . . . 4.8 €6 !&{X . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.9 €6 ! -²³ . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.10 DE9Ž$€6 ! -²³ . . . . . . . . . . . . . . . . . . . . 4.11 š×FiGH9Ž$€6 ! -²³ . . . . . . . . . . . . . .. 4.1. Quartus II. vi 26. 27 29 30 33 34 36 36 37 37 38 40 40 42 45 46 48 48 50 51 52 53 53 53.

(20) XjÑ? 4.12 ‚ƒ„ !&{X . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.13 ‚ƒ„ ! -²³ . . . . . . . . . . . . . . . . . . . . . . . . . 4.14 ‚ƒ„ ! -²³ . . . . . . . . . . . . . . . . . . . . . . . . . 4.15 ÕÂ0 „ ! -²³ . . . . . . . . . . . . . . . . . . . . . 4.16 †‡»0 !&{X . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.17 †‡»0 ! -²³ . . . . . . . . . . . . . . . . . . . . . . . . . 4.18 {^-|} ! -²³ . . . . . . . . . . . . . . . . . . . . . .. vii 54 55 57 59 61 62 63. ÙÚá%3&ÝÞáâíL>9 . . . . . . . . . . . . . . . 65 5.2 ŽFˆ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 5.3 Nios ÓԉÂ6ŽX . . . . . . . . . . . . . . . . . . . . . . . . . 67 5.4 Nios ÓԉÂ6>9iŠX . . . . . . . . . . . . . . . . . . . . . . . 67 5.5 ÝÞÙÚÂ5!OÂ5^qX (1:V 2:V 3:V 4:V ) . 68 5.6 ÙÚÂ5!OÂ5AB^qX (1:u 2:v 3:w ) . . . . . . 69 5.7 ÕÂ0 FÙÚÂ5!OÂ5ڋ^qX (1:bemf 2:U-CT3:V-CT4:W-CT) . . . . . . . . . . . . . . . . . . . . . . . . 69 5.8 ÚWwxÝÞÂuv 30 -^qX (1:bemf2:E 3:FG) . . . . . 70 5.9 PWM Œ|}ÝގÂ5^qX (1:PWM signal2: ÝގÂ5 ) 70 5.10 restart t© (1:FG signal2:low-level Ehigh-level \?ô ) 71 5.11 ‘’ 90 !D“”Š•Q . . . . . . . . . . . . . . . . . . . . . . . 71 5.12 DE9Ž$áâ/0ôzX . . . . . . . . . . . . . . . . . . . . 72 5.13 š×FiGH9Ž$áâ/0ôzX . . . . . . . . . . . . . . 72 5.1. u. v. w. d. d. d. d. CT.

(21)  1.1 2.1 2.2 2.3 2.4 2.5. ÙEi^!ÎEi^–—˜ . . . . . . . . . . . . . . . . . . . . Ùڙ—ÝÞ

(22) š . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 -ÎEi^oÚ¡¢› . . . . . . . . . . . . . . . . . . . . . . 180 -ÎEi^oÚ¡¢› . . . . . . . . . . . . . . . . . . . . . . 6248NH ! 6248NTD ,AB› . . . . . . . . . . . . . . . . . . . ÙÚ!çÚá%3&ÝÞ>9^,AB› . . . . . . . . . . .. XqÖSöèé&{œ_ *žt© . . . 4.2 Y§ÄÅ !ŸW V¡¢D› . . . . . . . . . . . . . . . . . . 4.3 VW^Y€6 !ŸW V¡¢D› . . . . . . . . . . . . . . . 4.4 €6 !ŸW V¡¢D› . . . . . . . . . . . . . . . . . . . . 4.5 ‚ƒ„ !ŸW V¡¢D› . . . . . . . . . . . . . . . . . . 4.6 Σ¤¥ !ŸW V¡¢D› . . . . . . . . . . . . . . . . . . 4.7 Σ¤¥ !› . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.8 ÕÂ0 „ !ŸW V¡¢D› . . . . . . . . . . . . . . 4.9 ÕÂ0 „ !› . . . . . . . . . . . . . . . . . . . . . . . . . 4.10 †‡»0 !ŸW V¡¢D› . . . . . . . . . . . . . . . . . . 4.11 {^-|} !ŸW V¡¢D› . . . . . . . . . . . . . . . 4.1. 5.1. Quartus II. EP1S10F780C6. ãä

(23) š. . . . . . . . . . . . . . . . . . . . . . . . . . viii. 4 11 17 19 26 27 43 47 49 52 54 56 57 58 59 60 62 66.

(24)   1.1. . $¦§ 'á%3&ÛÜÝÞ¨4æÖçÚèém Ò<>9 ç.ø©ª«¬xM­® ¯î¿oÃÐðÁؗ±²ç ñ'’œ³ÛÜÝÞ8´ý!µVì³¥$]ÙÚÝÞ¶·­ ¸¹çÚÝÞD’L†"Ñ庽»¼×ؽ¾ÛÜÁ¿ Papst ’À Áøˆ¥$§ö'·½$<žÁ¿î Ebm.ComairrotronÃU’O P#ÄÝÞYÓéÅê½£½¾ÛÜ¿ZÆ.ÞÇ.ˆÞ.ÈÉ.ÊË Ṳ÷’Í¥ÀÁøˆ Î«ÏÒ§ ì³ЁêëÙÚÝ Þèé!ø"&U’ÿ­ÑÒ<'Ó­YÔÕ4]Öz×Ø" ]YQR-ÓèéÙÚá%3&ÛÜÝÞ/0í)]*+ÑåçÚÝÞ Þ¤÷,ÙÚ­®Ûܬݸ¹çÚÝÞ" á%3&ÛÜÝÞL/0ìâíMÞâ¥ÝÞô“—LLWõ/0 íß©à¥áâno –ÝÞ\?ãô2äìåæô[óâæõîï ðñò.çèéí°êvíD4â®âíLêë-ì¡­YU ìåíîî#2Mï–7ÛÜð}ÁUñåò_ÛÜÝÞ­ Y"öXVØÕÖ÷ÛÜÝÞøˆî notebook<ùúûü×ýþÿ 1.

(25) M 1 ó ôQ 2 ÛÜÝÞ×Øý.úý.©ý!-ý4¤ " ·êõöÛÜÝÞ÷×ðâíøù¢ú­ûü×Øý 2_†œ ý"#$âíYþXÿ-.  D ý©ªÛÜÝ Þ© #âíÝÞô“Wõ ©ÝÞÄÅ- ÷® ¡]¤ìô“WõâíLáâ/0íú}’.

(26) ëÛÜÝÞ VYþâÛÜÝÞôz úÁ. #ÛÜÝÞ2ú»0æõ]ïáâ/0"&ÕÖÛÜÝÞ 2L‘’ÓÔ

(27) L/0"&" ×ØÛÜ.–Ö.|whÛܖÖÑåØ Â CPU ÛÜ'ÁæÖÿÄÛÜ"&<m@М4zÇ CPU ÿ-<¤¥ CPU Ûܤ}Â5]ÄÅÛÜôzâÿ-Ø¡Û Üôz0×Øÿ-¡ÛÜôz0*" #èéÛÜÝÞ¤¥ O¡ NÂ!O]$Á"2èéMÙN O<ÑМ4# $ PWM Œ)mÇŽ%} PWM Œ Duty ú]ÄÅÝގÂ5 Ž$ÿÄÛÜ"&". #YRÑú4&XÒ×ØÙÚá%3&ÛÜÝÞ]áâío ÚÄÅ)mÝÞÂ5 /0ÝÞ]Þ÷áâÄÅÑ êÕÖÛÜ'IC ð'(œ×])*+ÝÞYå'ÛÜ ÝÞôz'|¤ìœÄÅ>?Æ #YR,Â&ÄÅ!z-Ä Åt©Ž$ÿÄÛÜ"&'])m#$ PWM Œ%}ÝÞ ŽÂ5Á×OêÞ÷z-|}Ñ" 1.2. 

(28). ÑåáâíoÚ/0!ÄÅ"&Á¨«ÖÕÂ0 (back-emf) M VoÚi<m ÒÂ6Ž$BçÝގÂ5â^¸7U B©ª #­Y]×Ø"ê–Ö#i9 ÒÝÞôzzØ¡ ÕÂ0 -.z× !  AzØÓ­oÚi/¦0G–7ÝÞá 9ª«ô #«ÖÕÂ0  /¦oÚišÁ Ò»0 '(œ–Ö2®i91ÝÞô03÷ÝÞôz2ÁÕÂ0 -.3.

(29) M 1 ó ôQ 3 2(oÚi/¦ß©«ÖÕÂ0  MVoÚi"ÝÞáâ íoÚ/0’Ù_{˜¹(1) VW4(2) Y€6»04(3) €6oÚÄÅ" (1) VW¹ mÒÝÞ56Wõ4U»1ÝÞ©2ª«ô'(z VW{˜ïÝÞô“X\Ú2_ô_7F8iWõ–Ò2_{ ˜Y€6»0–—©2’8±0ô“ô" J. S. Kim, and S. K. Sul [1] „ 9V À­ÚO:)]ïÝÞô “9V­_Wõ–ÝÞU»0åú;7»ô“L56Wõ·› 2</0Â6L Àª˜==>?˜ À"S. Ogasawara, and H. Akagi [2] U ’*¥ÀÁVW{˜" @½A«M 5,343,127  [3] *¥B2ÄVW{˜ॣVM2 !oÚ ïÝÞVW«mÒÝÞô“56Wõ4U»]C– ÝÞô“2Y6)hiWõ ( ú4—LWõD!V“— ¯

(30) igF PëEÚÓ­¯

(31) _7 )#ö2à2=!56oژÚH! oÚ ] 'hipq" (2) Y€6»0¹ mÒÝÞØôz¡ÕÂ0 -.z× #óâoÚi¡ ©ªF÷  GHêÓ­oÚi/¦0G–7ÝÞá9ª«ô4. #'()mY€6»0{˜IU7÷32ÁÕÂ0 Lå à¥9Voژ–7ÝÞ]ª«ôêõöoژJØK= =ñåÚK]–7ÝÞôzLM}|ë1ÝÞÕÂ0  -.LMñåâÕÂ0 ¤ªF÷  GHêÓ­oÚi/¦0G ¡N]O+€6oÚÄÅ{˜" @½A«M 6,153,993  [4] *¥ÝÞY€6»0¡ O’  ]PâoÚiWõ]¤¥2!£Zoژ (forced commutation) #oژ4æÖ PWM ÄÅY§no|]ÎEi^}K–Óià/ 0" S. Nagamori [5] *¥Y€6z{–ÖÙEi^VK–Ó› 1.1 W ÙEi^!ÎEi^–—˜QæÖÙEi^–—˜©2A:Ø.

(32) M 1 ó ôQ 4 ÎEi^–—˜ñå 20 % ÷ 30 % ô_]Ú} Ò]× Ø»0Â&"  1.1: 

(33) Three-Step Start-Up Six-Step Start-Up 1. U →V. U →V. 2. U →V. U →W. 3. V →W. V →W. 4. V →W. V →U. 5. W →U. W →U. 6. W →U. W →V. @½A«M 5,726,543  [6] Y€6z{–ÖÙEi^VK –Ó|R+B2!KÙEi^–7ÕÂ0 -.Á×]J 370mV ñåÚ 500mV #]*Sno÷€6oÚÄÅ{˜÷üY €6»0¡¢" (3) €6oÚÄŹ âÝÞôzÐ2Ö3]ø]êëÕÂ0 ^qú]O +€6oÚÄÅ{˜€6oÚÄÅ{˜ ]/¦¥Ý ÞoÚi #ÎEi^oÚú"23JTÒU"€6oÚÄÅ L"&4Á«ÖÕÂ0 Móâô“—LWõOêÞ÷oÚÄÅ Ñ4 1985 K. Iizaka, H. Uzuhashi, et al. [7] N*¥]«ÖÝގÂ5 âPâU–ÓÚÕÂ0 7¿i ( Â56m 6}­\6°m \6}­ 6 )âU–ÓÚÕÂ0 Ó7¿›24¯2_ÝÞ uv 30 -ÚWwxN]Ì÷oÚi"–ÖU–ÓÚÕÂ0  7¿iM/¦oÚi¡ìœV  ø   "øC7¿ ioÚiPâ"  ÁdW"noÂ&ig¡ø Âã no¡Â&X¢"mÒYIJKL&¥#¡ú"Ó­C7¿. #œ2=oڛ¨'(Z[no  Ó­C7¿" JJ. Shao, D. Nolan, and T. Hopkins [8] *¥2Ä PWM(Pulse Width Modu-.

(34) M 1 ó ôQ 5 lation) no\,MóâÕÂ0 7¿i"]àÕÂ0 7¿ ióâÂ6ïU–ÓÚ (floating phase) ÚÂ5!OÂ5Ðz‹9í7 ÷Â5HN4#,ÕÂ0 ]i9^iÒQ–Ö PWM / 0ΣY§PWM Œï"_åO' `ÕÂ0 7¿i óâÂ6óâ÷C7¿i #óâÂ6'(–Öa^íab PWM ø   4a^í"øÚWwx Ó­oÚiPâ0Gc<4 âÝÞôz¡ÚWwx d*†"ê# #R´„ ] PWM À'£ÂãY§ÚXÕÒ£Âãev) À, ÐmÂ6i{àÍ ]Í»â PWM Œ off Õ¢ÕÂ0  6U–ÓÚÚÂ5ÙWLKf #gœóâ¥U–ÓÚÚÂ57 ¿iN7»ÕÂ0 7¿i #i9] a^íÚW wx ]]ÕÖôz;hUABi" R. C. Becerra, T. M. Jahns, and M. Ehsani [9] *¥2_ÕÂ0 ðW9 "&MóâoÚi"m@4âÕÂ0 Ó7¿›NïÕÂ0  jX6Ðz2ðWíâðW›6 V Þ÷Ôè6 V ›úà¥oÚ   #z V ^ðW?ßèV]|ò 30 -wx¡¢U]4 ¯ôzØOPÚWÛ°ÚWk›lmjîôz¡]ïð W?ß|Á.÷×wx¡¢]*ždÆ1oÚ4#"& no  øC7¿ðWí6œ2=oڛNïðWíèV2  ¡¢¤œ0(D÷èV¡¢z›ßY6óâ7¿iøî#ú ] Ðmno  øC7¿i" K. Y. Cheng, and Y. Y. Tzou [10] *¥2_ßWàÚWCí#ÚWCí no_pÁÒ7éßí|«Ö_éßíÞ÷PâÝÞÂuv 30 -ÚWwx<m@¢DîÒ¹ V¡ P (k) ! N (k) Wq\ rs Óéßíéßítñ!t‹Wq r ! r âÕÂ0 \rÓ ¡ ( Â56m 6}­\6 )\géßí P (k) Y6] r z´uå3÷ ÕÂ0  rÓ ( Â56m\6}­ 6 )ê P (k) éß÷6N ÝސôÂuv 60 -육¢ #wxÝސôÂuv 30 ¡¢gœï P (k) ÕÂ0 rÓ¡%]f r z´ÒßN r = 2r â P (k) ÒßÚ7¡ú¹›ÝÞv\oÚ¡¢iÕÂ0 int. th. th. i. d. i. i. d. i.

(35) M 1 ó ôQ 6 rÓ¡Æ(m@w}g4–Ö N (k) éßíMÞ­" S.Ogasawara and H.Akagi [2] *¥]«ÖÝÞ/0Â6IJKL óâÕÂ0 7¿i¤z#"&g©ÕÖÕÂ0 ^q4xq ^ÝÞ'"<m@ÝÞoÚX¢ÂãY§m À,}­> À ,¡Â&"ÀzyÂãzLIJKL)mÂ6i{àÍ g œóâU–ÓÚÒ£ÂãzIJKL À,)]‘ÕÂ0 7¿ióâ"#i9U]êÝÞ»0°Øôz¡ÕÂ0 .z×êá9óâ7¿i «UìœB2ä{ óâÂ6" J. C. Moreira [11] *¥2_¤ÖóâÕÂ0 7¿iU¤ìœwx Â6oÚ"&"ÝÞV“O: Y Ø|#NòÒ¤"øÙ=}^ Â&]ÚÂ5Ù=}^Â5­Wg!u~Â5’§ÐmðWé ›]7÷ô“—ÀÙ=}^â#6Ó7¿¡NÎEi^o Úi"#i9€iÒ¤ìœÁ°{ a^íZ[a^íÚWw x 2å'ØK¡Ù=}^A]ŽÂ5â9d©ªóâ (. Ù=}^K´4ÕÂ0 Y^Ùf )]#i9]–Ýޒ BÁôz;hê#m@g©áÖÒÕÂ0 xØ^Â0Ã'" @½A«M 6,316,894  [12] XÒ]oÚóâÂ6 Y§noêø no  *¥2_]Â69­rÂ6 (mask circuit)gœï  Ðz#2rÂ6oÚóâÂ6N‚,no  Ó­C7 ¿i–ÝÞ\?ô" @½A«M 5,886,486  [13] *ž2_ßWàÚWCí]Þ­ 90 ÚWwx"#ÚWCínoÎ_éßí P .N .P .N . P .N "  V¡ S .S .S  Wq4ÙÚÂ5!ÚÂ5AB›¤¥ P . P .P Wq ƒéß S . S .S   high ¡¢N .N .N Wq ƒé ß S .S .S   low ¡¢ #œ2_éßíéß¡¢¨4ÝÞ uvô 180 -¡¢Cè S  m high ô low( ÕÂ0 Ó7¿  )%m N éß S   low ¡¢â N éßÚ P 62„¡N¹ ›ÝÞÁ ôÂuv 90 - #à¥oÚ |ï P †7N ‡ˆ éßâ S  m low ô high%m P éßâ P éßÚ N 62„ ¡à¥oÚ |ï N †7ê P .N .P .N 0(U42‰. a. a. b. b. b. b. c. c. c. a. c. a. a. a. b. b. c. a. b. c. c. a. a. a. a. a. a. a. a. a. b. a. b. c. c. a. a.

(36) M 1 ó ôQ 7 #]«ÖÎ_éßíPâ¥ÎEi^Î_oÚ " @½A«M 6,111,372  [14] èé2_ÀA mask Â6# mask Â6 "¤¥2_ mask  â mask   low ¡óâÂ6|¤"óâ7¿ imÒÝÞ»0¡°Š ñå¡"øBÁÂ& #<no  ¡¢U"BhZ[# # mask Â6ÝÞÂ&‹  ÝÞÂ&ñå¡< mask   low ¡¢U"ñå] no  Ó ­C7¿" Ñå§ö'çÚÛÜè餥 O’OàFÙOàIJ9 Oà²9¤¥ O Vcc ! GNDêÙOà²9 O  Vcc F GND $InoMÙN O<t©èéŒì³]”W¹ 1. ôzÞ⌍¤¥ (FG Signal Output) ~ Open-collector 2. ŽŒ¤¥ (Alarm Signal Output) ~ Open-collector 3. z-ÄŌ¤+ (Speed Control Input) (a) ÿÄØ (Thermistor) (b) bôzØ (Two Speed Operation) (c) {^|}ÄzØ (PWM Signal) ØÂÁæÖÿÄ"&M/0ÛÜÝÞ<ÑÒ×ØÛÜ .–Ö.whÛܖÖ"ÿÄ"&m@М4«Ö¾ ÂûóâÇÿ-2)m PWM |}ÝÞÂ5Þ÷%}ÛÜôzÑ ([15]  [21])" mÒYQRМ4&X×ØÙÚá%3&ÛÜÝÞèé2Ž$ß WàáâÄÅã䋐­Y!‘¯Ñå§ö'çÚÛÜèéY RïVó’QÚ§èéi9^ßWàÚWCíŽ$" 1.3.  . YRÑМ4&XÒ×ØÙÚá%3&ÛÜÝÞ]óâU–ÓÚ ÕÂ0 7¿ièé2_Ž$áâí/0ÃÅ|.

(37) M 1 ó ôQ 8 ’Qáâí»09^wxÝÞÂuv 30 -ÃÅš›] FPGA (2 ç.X 1.1 ç›WÙÚá%3&ÛÜÝÞáâÄÅÇ> 9#ÇZ92ÝßWý FPGA Ç|æÖ VHDL ! FPGA ãäèéPï 120 -ÎEi^/0m@^áâí/0ÃÅ ìLVt© !L2Ž$š›ò¯#Çãä!$d/0Â6Z¾¥2 “] FPGA ãäÙÚá%3&ÛÜÝÞáâ/0Ç".  1.1:  1.4. . &XÝÞáâoÚÄŁ€6oÚÄÅ{˜*¥DE9^š×F iGH9MŽ$ÝÞÂuv 30 -Pâ|ë©2Z[no  Ó­ C7¿$”" 2. Ž$ IC ãäèémÒ$dÂ6g–ÖΣÂ6!ABíÂ6 UMYÓ IC ãä']÷×ð])*+ÛÜÝÞY'" 1..

(38) M 1 ó ôQ 1.5. . 9. YQR>9WÎ_ó"M2ó0Ã!Ñ.:;!Ó Ô$<.i9!Ç.QR=´!>9D4MKó¢DÙÚá% 3&ÝÞ£d9Ó!/0m@|,^>9'!çÚá%3&Ýޑ AB4MÙó¢DáâoÚÄÅãäLŽ$i9noßWàÚWC í.{à -^MÙN Oèé4MÍóãät©

(39) ^. -no PèéQRST.Vãä !èét©^<. -²³4M•óeS TŽ>9^Ž²³4MÎó²Q!UMÓÔ".

(40)   !"#$ 2.1.  !. YQR„ÖÙÚá%3&ÝÞ ( îX 2.1 W ) 4 9 – 12 LÙڙ —ÝÞ ( £dV“’Ë_–$dô“’ 12 L )<

(41) šî› 2.1"$dô“ œLøv-4 30 -m™——˜9­4£dV“e4˜µe'O :˜µ8O:Vø 5 - #œ–øÙv- 30 -–!– µiښ 40 -<ù~›eO:ù¢4mÒ£d|O’â í #'()máâ/0"&M/¦ô“!V“ÚXWõOê%} O:Â&&0igø2\gœ_Í0$dô“1ÙÚá%3& ÝÞ©2}2igô". 10.

(42) M 2 ó ÙÚá%3&ÝÞ. 11.  2.1:  ! (ROTOR) "! (STATOR)  2.1: # $%. 2.2. λr. 7.62 × 10−4 W b − turn. Ls. 0.136mh. Rs. 1.95Ω. J. 17 × 10−7 kgm2. Bm. 5 × 10−3 N tm/(rad/sec). M. 0.63mh.  "#$%. ÙÚá%3&ÝޒÙ_V“O:^ô“'™——˜<V“W XÁ`îX 2.2 W#ÝÞ Y #D8Â6Xœ2Ú¨mÝÞD 8Âû.D8Â]^Ýސô¡øÕÂ0 !­ ( Cè’O: 'D8Âû^DžÂŸÚ} )"< L ¹›4D8Â6n Ý ÞÚ L !Ú!Ú¢¡ M êVÚÂ&ڎi&+O n  igV¡\Â&" p.

(43) M 2 ó ÙÚá%3&ÝÞ. 12.  2.2: "!&' ÙÚá%3&ÝÞ¢ß!}ßV¡îÒ : n¹O V , V , V : a,b,c ÙÚÚÂ5 i , i , i : a,b,c ÙÚÚÂ& e , e , e : a,b,c ÙÚÕÂ0 L : V“O:6 M : V“O:¡6 R : V“O:û£6 ω : ÝÞôz θ : ô“vK : ÕÂ0 ?ß P : ÝÞLß T : ÝÞô_ K : œ_?ß T : ô_ J ¹ÝÞ¤ B ¹ÝÞû¥¦ß an. bn. an. bn. a. b. p. r. r. emax. e. t. L. m. cn. cn. c.

(44) M 2 ó ÙÚá%3&ÝÞ 13 )m]'ÝÞ¢ßV¡NmZ§¨©Â5Vª!«¬ã0Vª WqÍ ¥ÙÚá%3&ÝÞÂui{à!Ùi{à" ()*+ .  Van     Vbn    Vcn. . .   R 0 0       = 0 R 0       0 0 R. ,-*+. . . . . ·.   ia   Ls −M −M   ia          ·      ib   ib  +  −M Ls −M          ·    ic −M −M Ls ic. . . .   ea            +  eb           ec. √ ib ic 3 2J 2Bm Te = Kt ((ia − − ) cos(θr ) + (ib − ic ) sin(θr )) = ω˙r + ωr + TL 2 2 2 P P. (2.1). (2.2). ./0(123+ (2.3) . .  ea     2ω K    r emax  eb  =   P     ec. 2.3. . cos(θr )      cos(θr − 2π ) 3    cos(θr + 2π ) 3.  &'(.         . (2.3). ÙÚá%3&ÝÞ$dô“4m™—±—­®9­Q¯–ô“ô0 e'(œ–£dV“— <ô“Wõ%}")m£dV“'eO :«Ö$°¤+Â&ӭ— %} #êøô_«£dV“ Ð9V± #ø2_E(Ö

(45) Í0$dô“]ß©–ÙÚá% 3&Ýސô"–ÝÞ4]šÁô_ôÀ?æÖi9ÎE i^/0<Y²³^´àÍ µîÒ¹.

(46) M 2 ó ÙÚá%3&ÝÞ V¡ô“!V“WõîX 2.3 W". 14.  2.3: θ  θ &' r. s. ¶·MDa¸Vª ( Q’ N ¹O: )]7» F =N ·L·I ×B. (2.4). < I V¡O:'Â& L V¡O:'F— Õ’8hB V¡—ÀN V¡O:¹ß ÙÚá%3&Ýޜ_e T = F · r = N · L · I × B · r = Kt · I. (2.5). < K V¡œ_?ß r V¡

(47) Y t.  B ! θ ,θ v-’§]Í» r. s. B = B(θr , θs ) = Bmax · cos[P/2(θr − θs )]. (2.6).

(48) M 2 ó ÙÚá%3&ÝÞ < P V¡ô“'™——˜—Lß θ V¡ô“']2iXV“iv-H θ V¡V“']2iXV“iv-H. 15. r. s. ïà (2.6) ¹+à (2.5) ÐmÍ]7÷ Kt = 6 · N · Bmax · L · r · sin(P θr /2). (2.7). Jà (2.7) ]Ó$ÙÚá%3&ÝÞœ_?ß K "õöô“ô0ê­ sin ^}ý" t. /012. ÎEi^/0<ÙÚO: Àià¤}]W 120 -ÎEi^/ 0! 180 -ÎEi^/0" 120 -ÎEi^/04]] ÀÚO:p <Ò/0ÝÞ ( 2ÚÂ&¤+Ž2ÚÂ&¤¥ŽB2ÚY6 ) 180 -ÎEi^/0e] ÀÙÚO:p<Ò/0ÝÞ ( <Ú Â&¤+ŽB2ÚÂ&¤¥Ž4°Š4<ÚÂ&¤¥ŽB2Ú Â&¤+Ž )" [120 -ÎEi^/0m@ ] V¡ÙÚá%3&ÝÞô“!V“56WõîX 2.4 W".  2.4: / !"! 45.

(49) M 2 ó ÙÚá%3&ÝÞ 16 X 2.5 âÙÚá%3&Ýސô¡Wq S1,S2,S3 Ù_V“Wõ ÷ K õöÂuv!Ùv}ý^qX ( Âuv / Ùv =pole ßÑ /2=6]Âuvº 360 -Ùvgº 60 -Uú4¢ÝÞgô 60 -) t.  2.5: 6 K  ( 7869 :degree:;869 :g*cm/A) t. X 2.6  S1,S2,S3 Ù_V“] ÀÚO:p<ÒK õöÂuv }ý^qX" t.

(50) M 2 ó ÙÚá%3&ÝÞ. 17.  2.6: <'=>?( K  ( 7869 :degree:;869 :g*cm/A) t. Qœ–ÙÚá%3&ÝÞ¤¥ô_v)šÁ6m´à T = K · I ]7»'(œï K v)šÁ6 #)mX 2.6 ^qXŸ]ò @noÂ&¡¢iî› 2.2" t. t.  2.2: 120 @ ABC , À]Â&ig „ Âuv,2 S2 → S3 [-30,30] ,K S1 → S3 [30,90] ,Ù S1 → S2 [90,150] ,Í S3 → S2 [150,210] ,• S3 → S1 [210,270] ,Î S2 → S1 [270,330] ,2 , , §»noi -30,30,90,150,210,270,330.

(51) M 2 ó ÙÚá%3&ÝÞ 18 m› 2.2 ]7»âÝÞÂuv [-30,30] ¢œ– T šÁ 6 #Â& Àig'(„ S2 → S3êâÝÞÂuv [30,90] ¢ Â& Àig'(„ S1 → S3". #gœ7»ÙÚá%3&ÝÞ¼2_,i›2«ÖÂ&n o)]Þ÷šÁô_ ( îX 2.7)".  2.7: 120 @ DE -ÎEi^ãôm@ ] <Í ià! 120 -ÎEi^ÀÁX 2.8  S1,S2,S3 Ù_V“] À ÙÚO:p<ÒK õöÂuv}ý^qX" [180. t.

(52) M 2 ó ÙÚá%3&ÝÞ. 19.  2.8: <'=>( K  ( 7869 :degree:;869 :g*cm/A) t. }@œ–ÙÚá%3&ÝÞ¤¥ô_v)šÁ6)mX 2.8 ^qXŸ]ò@noÂ&¡¢iî› 2.3"  2.3: 180 @ ABC , À]Â&ig „ Âuv,2 S2 → S3 [0,60] S1 → S3 ,K S1 → S3 [60,120] S1 → S2 ,Ù S1 → S2 [120,180] S3 → S2 ,Í S3 → S2 [180,240] S3 → S1 ,• S3 → S1 [240,300] S2 → S1 ,Î S2 → S1 [300,360] S2 → S3 ,2 , , §»noi 0,60,120,180,240,300,360.

(53) M 2 ó ÙÚá%3&ÝÞ 20 gœ7»ÙÚá%3&ÝÞ¼2_,i›2«ÖÂ&no) ]Þ÷šÁô_îX 2.9 W".  2.9: 180 @ DE 2.4. ) *+,. îM2óÙÚá%3&ÝÞMM’¸¹çÚÛÜÝÞÿ . #YïO2E¢DÙÚá%3&ÝÞ!çÚá%3&ÝÞH½". 3456 çÚá%3&ÝÞڐìœ2¾ïðñòóâô“Wõ]Þ÷oÚÄÅ Ñ4ïðñò©ªFÿê¿Àî#ïÓ­ÝÞá9ô*† >‹ÝÞ"ELÙÚá%3&ÝÞQæÖáâoÚÄÅ"& ],ïðñò­YU]%Á ïðñò¿Àê÷üÝÞ  ".

(54) M 2 ó ÙÚá%3&ÝÞ. 21. /0789. Ñå§ö'çÚÛÜÝÞ/0í]WbLØ (bipolar) FçLØ (unipolar) Ä>9îX 2.10 WçÚÛÜÝÞ/0í>9".  2.10: 6F1G ( HIJKL:MI6KL ) mX 2.10 ]7»bLØ/0íìœÍ_ÂãçLØ/0íeì œ_Âã·bLØ/0í­Y'AçLØ/0í_Âã 48´'U"AçLØ/0í"ÙÚá%3&ÝÞ/0íÙ ÚbLØîX 2.11 W".  2.11: F1G. :;<=12 çÚá%3&ÝÞ£dV“eOià]WfgeO![geO îX 2.12 W"ÙÚá%3&ÝÞ£dV“eOiàfgeO".

(55) M 2 ó ÙÚá%3&ÝÞ. 22.  2.12: 6NO"!PQ+ ( HIRSPQ:MI8S PQ ). >?@ABC mÒYQR4]U–ÓÚÕÂ0 ‹ PâÝÞoÚi]\ ,'ïæ] 120 -ÎEi^/0ÙÚá%3&ÝÞî#ß©mU–ÓÚ7 »ÕÂ0 ‹  ( mX 2.2 ]7»ÕÂ0 NU–ÓÚ!O Â5H )"i)ABçÚ!ÙÚá%3&ÝÞô_`^]ï Šô_`^\

(56) ýX 2.13 WÙÚá%3&ÝÞ 120 -ÎEi^\

(57) ýô_`^X".  2.13:  120 @T$U DE.

(58) M 2 ó ÙÚá%3&ÝÞ ô_`^é´àîà (2.8) W" T orque ripple =. Tmax − Tmin ∗ 100% Tmean. 23. (2.8). #]é¥ÙÚá%3&ÝÞô_`^ √ 1 − 3/2 ∗ 100% = 14.03% T orque ripple = 3/π. X 2.14 WçÚá%3&ÝÞ\

(59) ýô_`^X".  2.14: 6T$U DE. #]é¥çÚá%3&ÝÞô_`^ T orque ripple = 1 − 0 ∗ 100% = 157% 2/π. ABX 2.13 FX 2.14 ]7»ÙÚá%3&ÝÞçW𤥜_^ ôzÂÅ'¨€ÒçÚá%3&ÝÞê ÙÚá%3&ÝÞô_`^ ×ÒçÚá%3&ÝÞ]ÙÚá%3&ÝÞ -0'"AçÚ á%3&ÝÞ×'U"AçÚá%3&ÝÞh".

(60) M 2 ó ÙÚá%3&ÝÞ. 24. D>>?. ÝÞô“’2ÄÃö­2,Vig!V“X‰ÿ m#ÿ "ø 2Ä-Äô_Ŗô_°¬ôô_ (cogging torque)#Ä-Äô_ 4mÒV“Å––72_—LÒL—ûÓ}ýê Æw —ûô_{0êò_ÝÞL¬ôô_4V_—Lø—ûô_{0L ¯­"&X²9XÝÞê¬ôô_ÚXҐôigLù¢Ã™vÇ$7Õ}ý^q<7ÕÁ×mÝÞ—Lß!–ßV" çÚá%3&ÝÞ/0'"’hi ( á9ô )   #'() m¬ôô_|òM hi X 2.15 FX 2.16 WqçÚá%3& ÝÞÓhi! hiô_X".  2.15: 6VWXY D.

(61) M 2 ó ÙÚá%3&ÝÞ. 25.  2.16: 6Z[XY D ¬ôô_])m%}—˜.|òŖDiàM%}4¬ôô_" Ó­z-!WõÄÅGHU" V“Ù²9§¦Ó­¤Ôâ È0!]`Òò_ǤµV". ÙÚá%3&ÝÞ/0¤"’hi ]èé—6¡ ]É×جôô_]‹¬ôô_Ó­"X 2.17 WÙÚá %3&ÝÞô_X".

(62) M 2 ó ÙÚá%3&ÝÞ. 26.  2.17:  D. E+FG ]º½×ؽ¾ÛÜ¿ Papst 'ÓøKÊ[&Üj“<$² Ę̈ 172φ X51mm"èWq 6248NH( çÚÛÜÝÞ ) 6248NTD( ÙÚ ÛÜÝÞ )<,ABî› 2.4 W"  2.4: 6248NH  6248NTD \]^_ ÍÑ 6248NH 6248NTD ÝÞÚß 1 3 l(Â5 (Volt) 48 48 ¤¥t´ (W) 26 50 l(ôz (rpm) 4000 5100 šÁÛ5 (Pa) 480 600 šÁÛ& (m /h) 282.5 353 3. X 2.18 W 6248NH ! 6248NTD Û5Û&,kOX"mX 2.18  ]Î¥ 6248NTD Û5Û&l(;hno 6248NH Û5Û&l(;.

(63) M 2 ó ÙÚá%3&ÝÞ 27 h #ÙÚá%3&ÝÞÛ5^Û&,'"AçÚá%3&ÝÞ ".  2.18: `\]aQ ( H/ curve3 I 6248NH `\]a Q:M/ curve2 I 6248NTD `\]aQ ) ϯ'‹ ]ò@¥ÙÚ!çÚá%3&ÝÞ>9^,AB› î› 2.5 W"  2.5: 6 b\]^_ ÍÑ ÙÚá%3&ÝÞ çÚá%3&ÝÞ /0í bLØ çLØ.bLØ Âã_ß 6 2°4 eOià fg fg°[g -0 ô_`^ =14.03% ô_`^ =157% ]-0B× ]-0BÁ ÅØè驪 ÅØèéÐÑ —6èé É×ج  »0hi ôœ_N 2ä¬ôœ_BÁ çW𤥜_ BÁ B× ôzÂÅ Úôz ¤«Òôz F8´ hF üFØ.

(64)  %&' ()*+,-. 3.1. "-%-./. YQR*¥ÝÞÂuv 30 -Pâ9М4«ÖÝÞÕÂ0  ,¹âÝÞ\?ô¡î³ÕÂ0 Ó=7¿=7¿ ¡¢'¢ÒNÝސôÂuv 60 -¡¢oÓÔ¢âÕÂ0 Ó7¿›œâÝސôÂuv 60 -ú"¥$2=7¿"Pâ ÝÞÂuv 30 -i9ÕÖ'*¥Ä92Ä4]DE9Ž$ ê2Ä4]š×FiGH9Ž$" 3.1.1. @A$. DE94]éßiàMéÕÂ0 Ó=7¿i¡¢ T. ÝÞÂuvô 30 -¡¢ , T/2 #âÕÂ0 Ó7¿ ¡Y6éß T/2 ¡¢›Nnoi" 28.

(65) M 3 ó áâoÚÄÅãäLŽ$i9 29 X 3.1 WDE9l(WX< V .V .V WqÙÚÂ5 u .v .w WqÙÚÂ5!OÂ5AB›  E U–ÓÚ ÚÂ5!OÂ5AB›ßW  ( m u .v .w !­ )C F C é ßíWq ƒéß E ÆW high F low ¡¢S oÚ â S Æ W%}N¹›‘oÚ0(θ ÝÞô“Âuv"mÒ E NÕ 0 !7Â5ÆWAB›ßW  #])m E ,4Õ%}M Í»ÕÂ0 4Õø7¿" u. d. d. v. w. d. d. d. d. d. d. p. c. c. e. d. d.  3.1: cd ef&'. n.

(66) M 3 ó áâoÚÄÅãäLŽ$i9 30 DE9l(¢DîÒ¹ CèÑåô“Âuv 60 -Â& Àig4J u À÷ véßí C J E ,%}i a úY6éß E ÆW high ¡¢âÝÞJ a iô Âuv 60 -›E ú"ø,%}i b#¡ C ïéß6 ]K|Ö× éßC Y6éßâ C éß6! C 62‰¡úà¥oÚ  ( # ¡Â& Àigno u À÷ w)|ï C 6†7ê C ‡ˆéß#ö l(iàÚ}g4o­ C éßÝސôÂuv 60 -¡¢ (b ÷ c Ø ¡¢ )C eéßPâ7÷ÝÞÂuv 30 -¡¢]#ÀÍú]«Ö _éßí (C .C ) ¿T0(MŽ$DE9" p. d. d. d. n. p. n. p. p. n. n. p. p. 3.1.2. n. BCD#EF$. š×FiGH9²³4«ÖzUß_»6Í¥š×FiGH i{à<i{à©2=à.K=à.°]'š›2«Ö#i{àM PâÑå6"ŽÙÕÖ'YQR4«ÖzUÍ_»6MÍ¥ š×FiGH2=3Oi{àš›2PâÑå6" é^Í îÒ ( ¢X 3.2)¹.  3.2: ghijkd&'.

(67) M 3 ó áâoÚÄÅãäLŽ$i9 31  X-Y Fö'Í_ڃiWqV¡ A(1, T ).B(2, T ).C(3, T ).D(4, T ) 3Oi{àV¡ 1. 2. 3. y = ax + b. 4. (3.1). ÔPâڃ E(5, T )V¡GH e  5. e=. 4 X i=1. (yi − axi − b)2. (3.2). ∂e = 0. ∂e = 0]7÷ ï»Íiڃ¹+à (3.2)2«Ö ∂a ∂b (. 30a + 10b = T1 + 2T2 + 3T3 + 4T4. (3.3). 10a + 4b = T1 + T2 + T3 + T4. êÛ¾i{àN7÷3Oi{à¦ß a=. −3T1 − T2 + T3 + 3T4 10. (3.4). 2T1 + T2 − T4 2. (3.5). b=. ïÔPâڃ E(5, T ) ¹+à (3.1) N7ÔPâ6 5. T5 =. −T1 + T3 + 2T4 2. (3.6). #]š×FiGH9PâÝÞÂuv 30 -¡4«ÖåÍ=Ý ÞôÂuv 60 -Ø¡¢ (T  T ) Ž$"!DE9HqÒDE9 g¸zU2=ÝސôÂuv 60 -‹ êš×FiGH9e¸åÍ= ÝސôÂuv 60 -‹ " š×FiGH9'()ˆÜ?Í=ÝÞ ôÂuv 60 -‹  #Ž$''(ADE9–ÖÍ!݁í (R  R )êà¥oÚ ›<݁í6'(d‡2=" 1. 4. 1. 4.

(68) M 3 ó áâoÚÄÅãäLŽ$i9 32 š×FiGH9l(¢DîÒ¹ š×FiGH9l(EÞ!DE9ÀÁg4PâÝÞÂuv 30 -¡ '(2“Öà (3.6)"îX 3.1 WCè E ø,%}i bê C é ßÝސôÂuv 60 -¡¢ (a ÷ b Ø¡¢ )#¡ï C 6+ R (R  R ÐÜ?åÙ=ÝސôÂuv 60 -¡¢ )#öï R  R ¹+à (3.6)  T  T ï7÷6 (T ) ]K›+ C ·› C Y6 éßâ C éß6! C 62‰¡úà¥oÚ |ï݁í6 d‡ (R =R .R =R .R =R ) ë C 6†7ê C ‡ˆéß#öl(i àÚ}g4o­ C éßÝސôÂuv 60 -¡¢ (b ÷ c Ø¡¢ ) C eéßPâ7÷ÝÞÂuv 30 -¡¢]#ÀÍú]«Ö_é ßí (C .C ) ¿T0(FÍ_݁í (R  R ) Ž$š×FiGH9" mÒ#i9Y€6n÷€6¡< R  R 64U» # Y€6n÷€6›š×FiGH9'(]DE9PâoÚiâ R  R ²Ù=ÝސôÂuv 60 -‹  ( Uú4–ÖDE9oÚÙ = )ú]–Öš×FiGH9" d. p. p. 4. 1. 3. 1. 4. 1. 4. n. 1. 2. 5. p. n. p. 2. 3. 3. 4. p. n. n. p. p. n. 1. 4. 1. 1. 3.1.3. 3. 3. GHI3JK. MKó*÷no  ï"ÕÂ0 7¿ióâ #ìœ 2®roÚ\,°ŠèérÂ6M no  Ó­"no  øm MÒIJKL8ÕX 3.3 WÂ&igm u → w n o÷ v → w ¡ Àpq (a) ”¢£Â&ig4mÝÞ u Ú&Ú w Ú ÝÞïÂ&o­m v Ú&Ú w ÚX¢Uú4 u Ú'YÂã T  OFF v Ú'Y T  ON X¢Â&"m u ÚÒYIJKL&z. # (b) ”¢£V "X¢× Low ÆW#p<"23÷ u ÚÂ&ß "mҜ=oڛ¨"ÓIJKL8Õ #œ=oڛ¨'( no   ß©–ÝÞ\?ô" r1. r3. u.

(69) M 3 ó áâoÚÄÅãäLŽ$i9.  3.3: (Sl u → w mAn v → w B=>op. 33.

(70) M 3 ó áâoÚÄÅãäLŽ$i9 34 DE9Fš×FiGH99'М4óâÕÂ0 7¿i 2‘ÝÞÂuv 30 -wx]³7šàoÚ¡¢i4ŽÙ'  IJKL8Õœ=oڛ¨"’no  øî#ï"– 7ÕÂ0 ’C7¿$”UúÓ­DE9Fš×FiGH9á9Ž $ # no  noiP⎏''(ïßWàÚW Cí_éßí (C .C ) (2®I\" X 3.4 ‘’rt©ßWàÚWCí< E U–ÓÚÚÂ5! OÂ5AB›ßW  C F C éßíWq ƒéß E ÆW  high F low ¡¢S oÚ â S ÆW%}N¹›(oÚ0( θ ÝÞô“Âuv" IJKL8Õ #œ=oڛ E ú"ø7¿$” C7¿]ïéßí C F C èV2_r¡¢6 k"g’â C ° C éß÷6Þ÷#r¡¢6 ßáÓv\7¿ #©2‘DE9°š×FiGH9ß% é|Ž$ÝÞÂuv 30 -wxELeáÓC7¿$ ”#¡'(ïéß÷6uåÚ ƒéßÝސôÂuv 60 -éßí '3÷ C ° C éß÷6Þ÷Nò6 k ( Óv\7¿ )" p. n. d. p. n. c. d. c. e. d. p. n. p. p. n. n.  3.4: qrst ef&'.

(71) M 3 ó áâoÚÄÅãäLŽ$i9 35 ‘’rst©ßWàÚWCí<l(¢DîÒ¹ CèÑåô“Âuv 60 -éßí C J E ,%}i a úY6éß E ÆW high ¡¢ IJKL8Õ # E ø,% }i c#¡ C Ö×éßC Y6éßmÒ C ÃUéßÚ k 6úÓ ,i d #]áÓC7¿ê C éß÷6â·Ýސ ôÂuv 60 -¡¢£] C 6'(uåÚ C '|ï C †7# ö C ‡ˆéß3÷ E ø,%}i b#¡ C Ö×éßC Y6é ßâ C éß÷ k 6¡úï C (DE9°š×FiGH9ß%ã ë C ‡ˆéß3÷ C éß6! C 62‰¡úà¥oÚ | ï C 6†7 ( Q–Öš×FiGH9'(ï݁íd‡ )]#ÀÍú ]Ž'Ž$DE9Fš×FiGH9" p. d. d. d. p. n. n. n. n. p. p. d. n. n. n. p. n. p. n. p. p. 3.2. 0%$1. áâí/0ÃÅ{à -М4m Visual C++ Z9ê­–Ö ÝÞ¢ß!„ÖÝÞ

(72) š2` ( ¢› 2.1)])ÕÖÒŽ$"ÝÞ áâíoÚ/0’Ù_{˜¹(1) VW4(2) Y€6»04(3) €6oÚ ÄÅ #{à -&{')<#Ù_{˜Ž$áâ/0ÃÅ" VW{˜ïÂ& Àig„  u→vâ À¡¢ 0.5 㠛NïÂ& Àigno v→w#ö À 0.1 ãN¬­VW{˜"Y €6»0{˜ïÂ&igno v→u< À¡¢ 0.08 ã#ö˜à ¥ÎEi^˜|÷ü À¡¢–ÝÞôz==*+âÝÞôz*+ Ú 200rpm N¬­Y€6»0{˜"€6oÚÄÅ{˜4]ÕÂ0 Pâ9ÐâU–ÓÚÕÂ0 Ó7¿›2wxÝސôÂu v 30 -¡¢NšànoiêÝÞÂuv 30 -wxmDE9F š×FiGH9Ž$" X 3.5 !X 3.6 4DE9 -²³]²ä¥ÝÞÂuv 30 -w x]mDE9Ž$–7ÝÞ­t>€6oÚÄÅ{˜ïôz*+ Úµ,ôz".

(73) M 3 ó áâoÚÄÅãäLŽ$i9. 36.  3.5: ()u 30 @vwxcd.  3.6:  yz{pxcd X 3.7 !X 3.8 4š×FiGH9 -²³]²ä¥ÝÞÂuv 30 -wx]mš×FiGH9Þ­–7ÝÞ­t>€6oÚÄÅ.

(74) M 3 ó áâoÚÄÅãäLŽ$i9 37 {˜ïôz*+Úµ,ôzêëôz'+¡¢ (rising time) ]^µ,ô zxå¨!DE9 -²³Ú}".  3.7: ()u 30 @vwxghijkd.  3.8:  yz{pxghijkd.

(75) M 3 ó áâoÚÄÅãäLŽ$i9. 3.3. 38. 234567. YRïÛÜÝÞMÙN Oèé PWM Œ¤+)m%} PWM Œƒ]7Õ (duty ratio) ]|}ÛÜÝÞžÂ5 #©2%}Ý ÞôzŽ$ÿÄÛÜ"&" X{^-|}"& (pulse-width modulationPWM) (2¢D¹{ ^-|}"&М4«Ö2_^ V ( À?Ùv^ ) !æY^ V ( \ç^°V6 )ï_^qÐmÁ×ABøY§no "X 3.9 W{^-|}l(m@â V B V Á¡ABL²³Æ W–Y§ ÀÕeØÆW–Y§è׿Y§Lno7Õ!^} T" c. control. control. c. s.  3.9: |}@~ef€ Y§noLƒ]7Õ D V¡¹ D=. ton Ts. (3.7).

(76) M 3 ó áâoÚÄÅãäLŽ$i9 ¤¥Â5FŸ6 V¯ ]Í7. 39. ton ∗ Vdc = D ∗ Vdc V¯ = Ts. (3.8). mÒ¤¥FŸÂ5! D ­\A #â D Á¡¤¥FŸÂ5"ÁE Le×"mÙvqÚÁV@]Í» V F Vˆ §¦à¹ control. c. Vcontrol (ton − Ts /2)/2 ton −1=2∗D−1 = =2∗ Ts /4 Ts Vˆc. (3.9). mà (3.8) !à (3.9) ]7»)m%} V Á×ú]%} D Á×. #Þ÷|}¤¥FŸÂ5ÑŽ${^-|}"&" ÛÜÝÞ#$÷ PWM Œ›'(Ðz2®Â6é@ß©Þ÷| }ÝގÂ5ÑX 3.10 FX 3.11 WqY§Â6^{^ -3&Â5ô oí"  PWM Œ"ïY§Â6êÑå–Ö PMOSw–Ö Bipolar Junction Transistor T¹ë(no–¤¥L{^ ]Â5!ÆW|) mY§Â6*ž32ÁÂ&]/0ÝÞY§Â6øL{^ ¤+{ ^~3&Â5ôoíêÑåèé RC a^íwÖKØÀa^í°< žÚ§ØÀa^ ICëï PWM Œab7÷Œ2_ DC Â56 #2 DC Â56N*žÝÞ/0Â5" control.

(77) M 3 ó áâoÚÄÅãäLŽ$i9.  3.10: 5‚(ƒ.  3.11: |„(` AG. 40.

(78) / ()012345678 4.1. Quartus II. 6789:;<=.  Quartus II èéP*ž2_>?©ªáÕ,Vèéìœ ¬òFìèéQRí42{àñòò¯YÓQR"X 4.1 îW Quartus II èé&{«Ö Quartus II PYÓèé&{Á`”Wè é¤+.¯­.ïðFïO.¡˜Wë. -^{àÒ

(79) "–Ö QuartusII P]¬­èé&{’ 42Ĭò멪–Öñ¾ êiò" QuartusII Pno QuartusII XqÖSö.EDA ƑSö°æSö ò_&{°–Ö<2ÄSöU]èé&{¤}  V`ó–Ö¤}„Í"QuartusII XqÖSöèé&{œ_ * žt©ò@î› 4.1 W" Altera. 41.

(80) M 4 ó ãät©

(81) ^. -.  4.1: Quartus II †‡ˆ*. 42.

(82) M 4 ó ãät©

(83) ^. -. 43.  4.1: Quartus II p‰Š‹ŒI‡ˆ* Ž‘’ st Design flow. Graphical User Interface. Design Entry. Text Editor Block & Symbol Editor MigaWizard Plug-In. Synthesis. Place & Route Timing Analysis Simulation Programming. .  ManagerAssignment EditorFloorplan Editor Analysis & SynthesisVHDL verilog HDL & AHDLDesign AssistantRTL Viewer FitterAssignment EditorFloorplan Editor Timing AnalyzerReport Window SimulatorWaveform Editor AssemblerProgramerConvert Programming Files. ]ÒEÞ Quartus II Yèé&{«|>œ_Eޟ'ìE Þ–ÖŠPìœ,­ÍEÞ°ôõ}0EÞ{˜¹ –Öá~„ç File→New project Wizard Z¾‡Aò|Vуñò°ñ òÇ 2. –Ö Text Editor Z¾ Verilog HDL.VHDL ° Altera  (AHDL) è é"]–Ö Block Editor Z¾no¹›<žèéöò‘iŠX° Z¾WX" 3. –Öá~„ç AssignmentsAssignment Editor.Setting XÔ÷.Floorplan Editor.LogicLock t©,V56èéÂÅ" 4. –Ö Analysis & Synthesis ¯­èé" 5. –Ö Simulator XèéøPt© -" 6. –Ö Fitter XèéøP4õF6O" 1..

(84) M 4 ó ãät©

(85) ^. 44 7. –Ö Timing Analysis XèéOP¡˜Wë" 8. –Ö Simulator XèéOP¡˜ -" 9. –ÖŽ¯­ (Physical Synthesis).¡˜¯FöïõX"(Timing Closure floorplan).LogicLock t©.èV (Settings) XÔ÷F Assign Editor OP¡ ˜%O" 10. –Ö Assembler èéZ¾è{öò (Programmer files)" 11. –Öè{öò.Programmer ÅöF Altera  ( jî Byteblaster II) Xñ òOPè{ (program)4°ïè{öòôo<žöòšà]ž*+à é@íD<žÇ–Ö" X 4.2 W Quartus II XqÖSö"QuartusII Pn  FPGA F CPLD è钝 êiòJ]Òê'èéi9%}'êÒè éi9]êHardware Description LanguageëÇè é|•ùÇ -!ò¯*žJèé¤+÷{àñòÒ

(86)  Ýdt©" èéià'ïæ¸ !ýèé"#"èéEÞ ï«Ö  VHDL èé

(87) |Z9V_ñ¾ÝÞ/0t© !]‹ V !! !¢t©,0GÓô'"š›2&XV !! !¢ Î9À.]tià^ò¯Lt©,OP -!."î#¤ «ñåV !¢–ÖúU* -.'i)".

(88) M 4 ó ãät©

(89) ^. -.  4.2: Quartus II p‰Š‹Œ. 45.

(90) M 4 ó ãät©

(91) ^. 4.2. FPGA. >?@A*B9. 46. áâÄÅãäèéÇ>9<ÄÅûµ2¾ 8Ø

(92)  ñò"z ALTERA Nios Development Board(  Nios Óԉ ) ^Â5 âÂ6.ÝÞ/0^78Â6]Z¾2“¬òÝÞÄÅÇ" X 4.3 W# FPGA ÄÅãä£dÇ>9"ãä£dno !¢DîÒ¹.  4.3: FPGA “”NO .

(93) M 4 ó ãät©

(94) ^. [ Y§ÄÅ ! ] !t©¹. 47. «Ö Nios Óԉ'Y§ÄÅÝÞáâí/0ÃÅ»0^Ö×¹ü Ò SW0 ¡]»0ÝÞáâí/0ÃÅ}¡ü± SW0 ! SW1 ] Ö×ÝÞáâí/0ÃÅ" 2. m Nios Óԉ'Wý (LED) D0 ²äáâí/0ÃÅ,¹ â D0 îWþN¹›ÝÞáâí/0ÃÅ»0,â D0 î W“N¹›ÝÞáâí/0ÃÅÖ×,". 1.. › 4.2 WY§ÄÅ !ŸW V¡¢D"  4.2: 5‚•–—9˜™"š›œ ŸW¼ ÿ Wñß  V¡ clk INPUT 1 bit Ç¡{ (50MHz) Start INPUT 1 bit »0  Reset INPUT 1 bit †õ  Ao en OUTPUT 1 bit VW^Y€6 !õ©  t©Ž$¹ )m Nios Óԉ'Y§ SW0 F SW1 WqÄÅ Start  F Reset   ( Y§ü±¡<  low)|èé2_£d݁í Reg–7 SW0 üқ Y<áâí/0ÃÅâ·»0," â clk Ó\rsÓp<NOPY§ÄÅ !&{îX 4.4 W".

(95) M 4 ó ãät©

(96) ^. -. 48.  4.4: 5‚•–* /¦ Start F Reset 4Õ}¡ low( }¡ü± SW0 F SW1 )Q Start F Reset }¡ low eï Ao en ^£d݁í Reg èV lowQ#Nò¤­¾ e‡ˆ/¦ Start 4Õm high } low( üÒ SW0 )Q4Ôeï Ao en ^£d ݁í Reg èV highELe‡ˆ/¦£d݁í Reg 4Õ highQ£ d݁í Reg  high eï Ao en èV highELeï Ao en èV low"<  Ao en , high ¡¹›áâí/0ÃÅ»0,#¡ Nios Óԉ'Wý D0 îWþ4ELe¹›áâí/0ÃÅÖ× ,#¡ Nios Óԉ'Wý D0 îW“" -²³¹ X 4.5 WY§ÄÅ ! -²³mX]Ó$â Start m high }  low L›Ao en èV high ÆWê Reset F Start }¡ low  ,Òï Ao en èV low ÆW".  4.5: 5‚•–•žŸ.

(97) M 4 ó ãät©

(98) ^. [ VW^Y€6 ! ] !t©¹. 49. <áâí/0ÃÅVW^Y€6»0{˜˜à¥VW^Y €6 !Σ¤¥‚ƒ" 2. z¤+ %}]ïVW^Y€6»0{˜Ö×°†‡»0" 3. m Nios Óԉ'Wý (LED) D1 ²ä4ÕЬ­VW^Y€6 »0{˜¹â D1 îWþN¹›¬­VW^Y€6»0{˜â D1 îW“N¹›ÃU¬­VW^Y€6»0{˜" 1.. › 4.3 WVW^Y€6 !ŸW V¡¢D"  4.3: "9b5 ƒ•–—9˜™"š›œ ŸW¼ ÿ Wñß  V¡ clk ao INPUT 1 bit VW^Y€6 !¡{ Rs INPUT 1 bit †‡»0  Ao en INPUT 1 bit VW^Y€6 !õ©  Cl en OUTPUT 1 bit €6Â6õ©  Ao flag OUTPUT 3 bit VW^Y€6 !Σ¤¥‚ƒ t©Ž$¹ mÒVW^Y€6»0{˜'(é À¡¢ #'(« Ö¡{Méß¡¢z Nios Óԉ£dÇ¡{ (clk)<ÈÄK´ 50MHzQ–Ö#ÈÄK´ï"–7éßí bit ßñå ` IC öðñ Á #ïL K 24.414kHz#N clk ao  z clk ao NVW ^Y€6»0{˜éßì À¡¢ê# !<VW^Y€6 À¡¢èV Visual C++ {à -âT¡¢".

(99) M 4 ó ãät©

參考文獻

相關文件

„ FPGA –現場可規劃邏輯陣列 (field- programmable

„ FPGA –現場可規劃邏輯陣列 (field- programmable gate

„ FPGA –現場可規劃邏輯陣列 (field- programmable

„ FPGA –現場可規劃邏輯陣列 (field- programmable

The purpose of this thesis is to propose a model of routes design for the intra-network of fixed-route trucking carriers, named as the Mixed Hub-and-Spoke

Therefore, a study of the material (EPI) re-issued MO model for an insufficient output of the LED chip manufacturing plant is proposed in this paper.. Three material

FPGA(Field Programmable Gate Array)為「場式可程式閘陣列」的簡稱,是一 個可供使用者程式化編輯邏輯閘元件的半導體晶片

In this thesis, a concentric binary phase zone plate was used to re-shape the sharp Gaussian filed profile into an 1-D sinc(x) (or 2-D Bessinc(r)) complex field profile