• 沒有找到結果。

The exposure energy of EUV photo resist is suggested to be 10~20 mJ/cm2. The flux of the beam line 08A1 at NSRRC is about 1x1012 photons/sec and the EUV energy is 91.85eV. Thus, the energy flux is 1x1012 x 91.85 x 1.6x10-19=0.0147 mJ/sec.

The area of the EUV spot is about 0.016 cm2, so the irradiation dose rate is 0.918 mJ/cm2/sec1. In order to easily observe the irradiation damage, the EUV irradiation time is set to 60s and 300s which equals to 55.12 mJ/cm2 and 275 mJ/cm2, respectively. The SiO2 sample used beam line 21B2 and the flux of 21B2 is 1 mJ/cm2/sec.

The EUV beam spot are not so uniform. Fig. 3-9 (a) shows the 3 devices (on the same wafer) received EUV irradiation to a dose of 55.12 mJ/cm2 and the 4 devices (on the other sample) received EUV irradiation to a dose of 275 mJ/cm2. The C-V curves after EUV irradiation may distribute in a wide range due to the smiling shape EUV light spot shown in Fig. 3-9 (b). Therefore, the device with the most serious damage is selected to perform further analysis. In order to relax this problem for the

As has been mentioned in chapter 1, EUV is a high energy light source. The e-h pairs would be generated when the EUV incidents dielectric and the C-V curve will shift due to the trapped charges and distort due to the increase of interface states. Fig.

3-10 to Fig. 3-14 show the effect of EUV irradiation on various dielectrics. When the samples are irradiated by EUV, the C-V curves of all samples shift toward negative voltage direction, this means net positive charges are produced in the dielectrics.

When the irradiation time is longer, the C-V curves shift more negatively. C-V curve distortion is also observed when the samples receive high dose irradiation.

As the dose increases, we can find an interesting phenomenon: hysteresis gets worse. Not only the high-k samples but also the SiO2 sample has this hysteresis phenomenon. This phenomenon suggests that EUV irradiation may generate some slow traps and border traps. However it is believed that the increasing of border traps is the main reason for our samples [49]. Border traps are easily generated by radiation damage. These traps may charge and discharge when the gate bias changes.

Eventually they cause the hysteresis of the C-V curve. In our experiments, it is found that high-k material is much easier affected by the EUV irradiation. It is also observed that more border traps are generated in high-k materials in comparison with in SiO2 dielectric. We could not make sure what kind of border traps are generated now (positive trap or negative trap). In the latter part of this chapter, we will discuss the self-annealing effect of the damages in dielectrics, some information will help us to distinguish what kinds of border traps are generated during EUV irradiation.

We use in-situ measurement to study the correlation between charge trapping and interface generation as the irradiation dose increases. Fig. 3-15 shows the in-situ measured C-V curve of the ALD Al2O3 sample. In the beginning of irradiation, the ALD Al2O3 sample quickly traps positive charges and the C-V curve shifts toward negative voltage. Nevertheless, as the dosage increases, the charge trapping rate in

Al2O3 seems to slow down. At the same time, the interface state density still increases quickly when dosage increases. The distortion of C-V curves in Fig. 3-14 is the evidence.

3-2-3 ΔV

fb

and ΔV

mg

Different dielectrics have quit different responses to the EUV irradiation. It has been shown in Fig. 3-10~ Fig. 3-14 that different Vfb shifts are produced when the dielectrics are irradiated by EUV to the same dosage at the beam line 08A1. After EUV irradiation, the ΔVfb of the SiO2 sample shows the lowest magnitude of only -0.30V. The ΔVfb of the HfAlO, HfSiO, and ALD Al2O3 samples are -0.99 V, -0.9 V, and -1.92 V, respectively. The MOCVD Al2O3 sample shows the worst ΔVfb of -2.39 V.

The ΔVmg values are also extracted. They are -0.23 V for SiO2 sample, -0.768 V for HfAlO sample, -0.77 V for HfSiO sample, -2.24 V for MOCVD Al2O3 sample, and -1.51V for ALD Al2O3 sample. From the Eq.2.4 and Eq.2.5, the ΔNot and ΔNit on etch dielectrics can be calculated. These values are listed in Table 3-2. High-frequency method (Terman method) is also used to extract the energy distribution of the interface states before and after EUV irradiation. Fig. 3-16 shows the difference in the energy distribution of the interface states before and after EUV irradiation of all samples. It is obvious that after the EUV irradiation the interface state densities of all samples increase about one order of magnitude. And, the EUV generated interface states are donor-like and locate at the lower half of the energy gap of Si. . In Table 3-2, it is found that even if the MOCVD Al2O3 sample have the largest Vfb and Vmg shifts, the magnitudes of ΔNot and ΔNit are not consistent with the ΔVfb and ΔVmg. We can

accumulation capacitances. From device point of view, MOCVD Al2O3 may suffer from the worst device application, but from the material aspect, MOCVD Al2O3 is not the worst dielectric on radiation hardness.

In Table 3-2, it is observed that after EUV irradiation the ALD Al2O3 sample suffers from the worst hysteresis and ΔNit. However the MOCVD Al2O3 sample suffers from the worst ΔVfb and ΔNot. From the TEM micrograph, it is known that there is no SiO2-like IL on the ALD Al2O3 sample. Since the SiO2 sample exhibits the best radiation hardness, the SiO2-like IL results in better radiation hardness so the ΔNit

of MOCVD Al2O3 sample is lower then that of the ALD Al2O3 sample. The ALD and MOCVD Al2O3 samples both suffer from large ΔVfb than the other samples after EUV irradiation. However, the MOCVD Al2O3 sample behaves worse than the ALD Al2O3 sample because the quality of ALD Al2O3 is better than the MOCVD Al2O3.

From the basic C-V curve measurements, it is observed that the SiO2 sample has the best EUV hardness and the MOCVD Al2O3 sample is in the worst case. It is known that the radiationhardness is related to many factors like e-h pairs generation rate, bonding energy, and original trap density, etc. In this thesis, we use the same irradiation dosage for each high-k dielectric and higher irradiation dosage for the SiO2, but SiO2 shows the best immunity to EUV irradiation. This result can be explained by several reasons. First, SiO2 has the longest attenuation length (0.1 m) in EUV range among these dielectrics. The attenuation length in SiO2 is about 5 times longer than that in the other dielectrics. Owing to the longest attenuation length, SiO2 absorbs less energy from EUV and then less e-h pairs are generated in SiO2. Second, the growth temperature of SiO2 is 900 oC, which is much higher than the deposition temperatures of the other dielectrics. The higher deposition temperature results in less original trap density in the dielectric and then less EUV generated e-h pairs would be trapped. Third, the band gap of SiO2 is the largest. This property also makes e-h pairs

not generated so much.

For the high-k dielectrics, it is hard to predict their radiation hardness from a single factor. However, we can discuss the observed phenomena from two directions:

charge generation and charge trapping. The amount of e-h pairs generated by EUV irradiation is the first essential factor. Total energy absorbed by dielectric, band gap, binding energy, and bond energy, ect., all of these factors determine the number of e-h pairs and eventually the number of charges may be captured in the dielectric. As we know, The band gap of Al2O3 is 8.8 eV and the band gap of HfO2 is 6 eV. The band gap of HfAlO is between that of HfO2 and Al2O3 and the band gap of HfSiO is between that of HfO2 and SiO2.When the same dose EUV irradiates on high-k samples, the attenuation length affects e-h pair generation less than the band gap because the high-k materials have similar attenuation length from 0.02μm to 0.029μm.

Finally, more e-h pairs can be generated in HfAlO than the others because HfAlO has the narrowest band gap. Even if sufficient e-h pairs are generated, charges must be trapped in the dielectric so that they can affect the C-V curve and can be detected.

Intrinsic traps or radiation induce traps might be important factors in charge capturing.

Combining all of these factors we observe the experimental result of flat-band shift is MOCVD Al2O3(-2.39V) >ALD Al2O3(-1.92V) > HfAlO(-0.99V) > HfSiO(-0.9V).

The ALD Al2O3 and MOCVD Al2O3 samples have the largest flat-band voltage shift of -2.39V and -1.92V. This suggests that even if Al2O3 have less e-h pairs due to its large band gap but it traps more charges. The trap density in the Al2O3 may be much higher than the other high-k dielectrics. The overall radiation hardness depends on several factors. When we need to predict radiation hardness of a material, all factors must be taken into consideration.

相關文件