• 沒有找到結果。

After EUV irradiation, the MIS capacitors are damaged to different levels, we are curious about if these damages are permanent or not? Can they be fixed by time or another ways? The recovery properties of the MIS capacitors are shown in Vfb, Vmg , and hysteresis, and are discussed as follows.

In the previous sections, it has been shown that after EUV irradiation, the hysteresis is getting worse, and it is known that the hysteresis is caused by border traps generated by EUV. Interface traps also increase as the irradiation dosage increases, and the interface states cause C-V curve distortion. It is curious that is there any way to know what kinds of traps are generated by EUV in each dielectric? Would it be the same for every dielectric? Fig. 3-17(a) and Fig. 3-17(b) shows the C-V curves of the ALD Al2O3 sample at various times after EUV irradiation. Fig. 3-17(a) shows the C-V curves swept from negative voltage to positive voltage (forward sweep) and Fig. 3-17(b) shows the C-V curves swept from positive voltage to negative voltage (reverse sweep). We can combine these two figures into a full hysteresis loop.

After EUV irradiation, the C-V curve distorts apparently and the hysteresis is getting worse. In Fig. 3-17(a) the distortion of the forward swept C-V curve quickly recovers in 2 hrs and the Vfb recovers to some extent. In this period, the reverse swept C-V, shown in Fig. 3-17(b), almost does not change. These phenomena implies that donor-type interface states and border hole-traps are generated by EUV irradiation and these defects can be annealed gradually. The decrease of interface states density reduces the C-V distortion and the decreasing of border traps reduce the hysteresis.

After 2 hrs, the C-V curve in forward sweep and reverse sweep shift in parallel. This means the decreasing of border traps and interface traps slow down, and the detrapping of the positive charges trapped in the dielectric dominates the C-V curve

shift.

Fig. 3-18 shows that the distortion and hysteresis of the C-V curve do not change with time and only C-V shift occurs on the SiO2 sample. These phenomena imply that very few border traps are generated and the EUV generated interface states cannot recover at room temperature. In Fig. 3-19, the hysteresis of the MOCVD Al2O3 sample does not recover too much with time. But the distortion and shift of C-V curve reduces with time. These phenomena imply that the EUV irradiation generates both border traps and interface states in MOCVD Al2O3. The border traps do not recover with time while the interface states and the trapped holes recover gradually at room temperature.

The recovery of C-V curve of the HfSiO sample is shown in Fig. 3-20. Sever distortion of the C-V curve after EUV irradiation is observed. The distortion at the upper half of the C-V curve indicates lots of donor-like interface states distributed at the lower half of the Si band gap. These interface states cannot recover at room temperature. EUV irradiation also generates border traps so that the hysteresis increases after EUV irradiation. Some border traps disappear in a few minutes but the remaining border traps do not decrease after 660 sec. Fig. 3-21 shows the C-V curves of the HfAlO sample with time. It is interesting that after EUV irradiation, negative border traps are generated. This is quite different from the other sample on which positive border traps are generated. Part of the border traps disappears in 600 sec.

However, the remaining border traps, interface states, and trapped positive charges do not change up to 3600 sec.

Figure 3-22(a) ~ Fig. 3-26(a) show the flat band voltage and mid-gap voltage as a function of storage time at room temperature. It is clear that the shifts of flat band

mainly due to hole trapping. The trapped holes in dielectrics are not stable, they will detrap to substrate and/or gate or neutralized by electrons from substrate and/or gate.

Therefore, the main reason of Vfb and Vmg recovery is the vanish of the trapped holes, we find all C-V curves will come closer and closer to the original curve with time.

Immediately after EUV irradiation, the whole dielectric is charged with the trapped holes so that a huge Vfb and Vmg shift occur. However, the charges near the Si substrate and gate electrode could not stay for a long time. They quickly tunnel into substrate and gate electrode in a short time. On the contrast, the charges trapped in the bulk of the dielectric need weeks to months or even years to escape.

From the previous discussion we can find that the hysteresis will be self-annealed within 10 minutes to 2 hours after EUV irradiation and it begin to slow down. The self-annealing of hysteresis can also be observed in Fig. 3-22(a) to Fig.

3-26(a). The semi-log plots show that the hysteresis does not decrease as quick as ΔVfb and ΔVmg. This means that the border traps keep in a much stable situation and the positively shift of the whole C-V curve is dominated by the hole detraping.

The recovery (self-annealing) is almost like the reverse sequence of radiation damage. When the EUV irradiation damages the capacitor, the dielectric traps positive charges and have interface states increasing. Form Fig. 3-22(a) to Fig. 3-26(a), we can basically see the positive charges discharge from the dielectric. The ΔNit annealing with time can be estimated from Eq.2.5. Figure 3-22(b) to Fig. 3-26(b) show that the ΔNit decreases with time, which means the interface states are also self-annealed. In fact, the C-V curve distorts from the original C-V curve after EUV irradiation, the distortion gradually recovers to the original shape, this is also an evidence of Nit decrease.

Compared with the ALD Al2O3 sample, the ΔNit self-annealing of the MOCVD Al2O3 sample is much slower. The MOCVD Al2O3 sample shows about 50% ΔNit

annealing after 5x106 sec, but the ALD Al2O3 sample anneals more than 60% ΔNit after 5x105 sec. This is because the SiO2-like IL makes the Nit annealing of the MOCVD Al2O3 sample behaves similar to the SiO2 sample.

相關文件