• 沒有找到結果。

Chapter 1 Introduction ….…

1.6 Organization of the Thesis

1.6 Organization of the Thesis

This thesis is organized as follow:

In Chapter 1, the overview of poly-Si TFTs, the method of device parameter extraction, the reason for high-κ extraction and motivations of this thesis are described.

In Chapter 2, we discuss the advantage of Pr2O3 high-κ material and TiN metal gate. Furthermore, Pr2O3 high-κ gate dielectric perform significant improvements in the device performance, such as lower threshold voltage, improved subthreshold swing, enhanced field effect mobility, and higher ON/OFF current ratio can be achieved as compared to the TEOS TFT even without other hydrogenation treatment.

In Chapter 3, the fabrication process of poly-Si TFTs combined with Pr2O3

gate dielectric, TiN gate and fluorine ion implantation will be proposed. Then, we research into the improvement degree of electrical characteristic and reliability.

In Chapter 4, the electrical characteristics and fabrication process of the

solid-phase-crystallized (SPC) poly-Si TFTs with CF4 plasma treatment combined with Pr2O3 gate dielectric and TiN gate will be proposed. Also we explore its performance and reliability.

In Chapter 5, we will make conclusions and future works.

Reference

[1] S. Zhang, C. Zhu, J. Sin, J. Li and P. Mok, "Ultra-thin elevated channel poly-Si TFT technology for fully-integrated AMLCD system on glass," Electron Devices, IEEE Transactions on, vol. 47, pp. 569-575, 2000.

[2] T. Nishibe, “Low-temperature poly-Si TFTs by excimer laser annealing,” in Proc.

Mater. Res. Soc. Symp., 2001, vol. 685E, pp. D6.1.1–D6.1.5.

[3] B.-D. Choi, H.-S. Jang, O.-K. Kwon, H.-G. Kim, and M.-J. Soh, “Design of poly-Si TFT-LCD panel with integrated driver circuits for an HDTV/XGA projection system,” IEEE Trans. Consum. Electron., vol. 21, no. 3, pp. 100–103, Mar. 2000.

[4] Nakamura, F. Emoto, E. Fujii, A. Yamamoto, Y. Uemoto, H. Hayashi, Y. Kato and K.

Senda, "A high-reliability, low-operation-voltage monolithic active-matrix LCD by using advanced solid-phase-growth technique," Electron Devices Meeting, 1990.Technical Digest., International, pp. 847-850, 1990.

[5] G. Giust, T. Sigmon, J. Boyce and J. Ho, "High-performance laser-processed polysilicon thin-film transistors," Electron Device Letters, IEEE, vol. 20, pp. 77-79, 1999.

[6] N. Kusumoto, T. Inushima and S. Yamazaki, "Characterization of polycrystalline-Si thin film transistors fabricated by excimer laser annealing method," IEEE Trans.

Electron Devices, vol. 39, pp. 1876–1879, 1994.

[7] S. W. Lee, T. H. Ihn, S. K. Joo, S. Co and K. Do, "Fabrication of high-mobility p-channel poly-Si thin film transistors by self-aligned metal-induced lateral crystallization," Electron Device Letters, IEEE, vol. 17, pp. 407-409, 1996.

[8] A. Yin and S. Fonash, "High-performance p-channel poly-Si TFTs using electron cyclotronresonance hydrogen plasma passivation," Electron Device Letters, IEEE, vol. 15, pp. 502-503, 1994.

[9] C. K. Yang, T. F. Lei and C. L. Lee, "The combined effects of low pressure NH 3-annealing and H 2 plasma hydrogenation on polysilicon thin-film transistors,"

Electron Device Letters, IEEE, vol. 15, pp. 389-390, 1994.

[10] J. W. Lee, N. I. Lee, J. I. Kan and C. H. Han, "Characteristics of polysilicon thin-film transistor with thin-gate dielectric grown by electron cyclotron resonance nitrous oxide plasma," Electron Device Letters, IEEE, vol. 18, pp. 172-174, 1997.

[11] K. C. Moon, J. H. Lee and M. K. Han, "Improvement of polycrystalline silicon thin film transistor using oxygen plasma pretreatment before laser crystallization,"

Electron Devices, IEEE Transactions on, vol. 49, pp. 1319-1322, 2002.

[12] C. S. Lai, W. C. Wu, K. M. Fan, and T. S. Chao , “Effects of Post CF4 Plasma Treatment on the HfO2 Thin Film”, Jpn. J. Appl. Phys. Part1, 2005, Vol. 44, No. 4B, 2307-2310.

[13] H. N. Chern, C. L. Lee and T. F. Lei, "The effects of fluorine passivation on polysilicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 41, pp. 698-702, 1994.

[14] C. K. Yang, T. F. Lei and C. L. Lee, "Characteristics of top-gate thin-film transistors fabricated on nitrogen-implanted polysilicon films," Electron Devices, IEEE Transactions on, vol. 42, pp. 2163-2169, 1995.

[15] T. Unagami and O. Kogure, "Large on/off current ratio and low leakage current poly-Si TFTs with multi-channel structure," Electron Devices, IEEE Transactions on, vol. 35, pp. 1986-1989, 1988.

[16] B. H. Min, C. M. Park and M. K. Han, "A novel offset gated polysilicon thin film transistor without an additional offset mask," Electron Device Letters, IEEE, vol. 16, pp. 161-163, 1995.

[17] Z. Xiong, H. Liu, C. Zhu and J. Sin, "Characteristics of high-κ spacer offset-gated

polysilicon TFTs," IEEE Trans. Electron Devices, vol. 51, pp. 1304-1308, 2004.

[18] P. S. Shih, C. Y. Chang, T. C. Chang, T. Y. Huang, D. Z. Peng and C. F. Yeh, "A novel lightly doped drain polysilicon thin-film transistor withoxide sidewall spacer formed by one-step selective liquid phase deposition," Electron Device Letters, IEEE, vol. 20, pp. 421-423, 1999.

[19] K. Y. Choi and M. K. Han, "A novel gate-overlapped LDD poly-Si thin-film transistor," Electron Device Letters, IEEE, vol. 17, pp. 566-568, 1996.

[20] A. Bonfiglietti, M. Cuscuna, A. Valletta, L. Mariucci, A. Pecora, G. Fortunato, S.

Brotherton and J. Ayres, "Analysis of electrical characteristics of gate overlapped lightly doped drain (GOLDD) polysilicon thin-film transistors with different LDD doping concentration," Electron Devices, IEEE Transactions on, vol. 50, pp.

2425-2433, 2003.

[21] Y. Mishima and Y. Ebiko, "Improved lifetime of poly-Si TFTs with a self-aligned gate-overlapped LDD structure," Electron Devices, IEEE Transactions on, vol. 49, pp. 981-985, 2002.

[22] H. C. Lin, C. M. Yu, C. Y. Lin, K. L. Yeh, T. Y. Huang and T. F. Lei, "A novel thin-film transistor with self-aligned field induced drain," Electron Device Letters, IEEE, vol. 22, pp. 26-28, 2001.

[23] C. S. Lai, C. L. Lee, T. F. Lei and H. N. Chern, "A novel vertical bottom-gate polysilicon thin film transistor with self-aligned offset," Electron Device Letters, IEEE, vol. 17, pp. 199-201, 1996.

[24] A. Takami, A. Ishida, J. Tsutsumi, T. Nishibe and N. Ibaraki, "Threshold voltage shift under the gate bias stress in low-temperature poly-silicon TFT with the thin gate oxide film," Proc.Int.Workshop AM-LCD, pp. 45–48,

[25] Z. Jin, H. Kwok and M. Wong, "High-performance polycrystalline SiGe thin-film

transistors using Al2O3 gate insulators," Electron Device Letters, IEEE, vol. 19, pp.

502-504, 1998.

[26] M. Y. Um, S. K. Lee and H. J. Kim, "Characterization of Thin Film Transistor using Ta 2 O 5 Gate Dielectric," Proc.Int.Workshop AM-LCD, pp. 45–46,

[27] Chia-Pin Lin, Bing-Yue Tsui, Ming-Jui Yang, Ruei-Hao Huang, and Chao-Hsin Chien,” High performance poly-silicon thin film transistors using HfO2 gate dielectrics” Electron Device Letters, IEEE, vol. 27, 2006.

[28] H. Osten, J. Liu, P. Gaworzewski, E. Bugiel, P. Zaumseil and F. IHP, "High-κ gate dielectrics with ultra-low leakage current based on praseodymium oxide," Electron Devices Meeting, 2000.IEDM Technical Digest.International, pp. 653-656, 2000.

[29] G. Y. Yang, S. H. Hur and C. H. Han, "A physical-based analytical turn-on model of polysilicon thin-film transistors for circuit simulation," Electron Devices, IEEE Transactions on, vol. 46, pp. 165-172, 1999.

[30] K. Olasupo and M. Hatalis, "Leakage current mechanism in sub-micron polysilicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 43, pp.

1218-1223, 1996.

[31] M. J. Tsai, F. S. Wang, K. L. Cheng, S. Y. Wang, M. S. Feng and H. C. Cheng,

"Characterization of H2/N2 plasma passivation process for poly-Si thin film transistors (TFTs)," Solid State Electronics, vol. 38, pp. 1233-1238, 1995.

[32] C. K. Yang, T. F. Lei and C. L. Lee, "The combined effects of low pressure NH 3-annealing and H 2 plasma hydrogenation on polysilicon thin-film transistors,"

Electron Device Letters, IEEE, vol. 15, pp. 389-390, 1994.

[33] C. K. Yang, T. F. Lei and C. L. Lee, "The combined effects of low pressure NH 3-annealing and H 2 plasma hydrogenation on polysilicon thin-film transistors,"

Electron Device Letters, IEEE, vol. 15, pp. 389-390, 1994.

[34] H. C. Cheng, F. S. Wang and C. Y. Huang, "Effects of NH 3 plasma passivation on N-channel polycrystalline silicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 44, pp. 64-68, 1997.

[35] H. N. Chern, C. L. Lee and T. F. Lei, "H 2/O 2 plasma on polysilicon thin-film transistor," Electron Device Letters, IEEE, vol. 14, pp. 115-117, 1993.

[36] C. Lin, M. Yang, C. Yeh, L. Cheng, T. Huang, H. Cheng, H. Lin, T. Chao and C.

Chang, "Effects of plasma treatments, substrate types, and crystallization methods on performance and reliability of low temperature polysiliconTFTs," Electron Devices Meeting, 1999.IEDM Technical Digest.International, pp. 305-308, 1999.

[37] H. Momose, T. Morimoto, Y. Ozawa, K. Yamabe and H. Iwai, "Electrical characteristics of rapid thermal nitrided-oxide gate n and p-MOSFET's with less than 1 atom% nitrogen concentration," Electron Devices, IEEE Transactions on, vol.

41, pp. 546-552, 1994.

[38] M. Hack, A. Lewis and I. W. Wu, "Physical models for degradation effects in polysilicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 40, pp. 890-897, 1993.

[39] H. N. Chern, C. L. Lee and T. F. Lei, "The effects of fluorine passivation on polysilicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 41, pp. 698-702, 1994.

[40] S. Maegawa, T. Ipposhi, S. Maeda, H. Nishimura, T. Ichiki, M. Ashida, O. Tanina, Y.

Inoue, T. Nishimura and N. Tsubouchi, "Performance and reliability improvements in poly-Si TFT's by fluorine implantation into gate poly-Si," Electron Devices, IEEE Transactions on, vol. 42, pp. 1106-1112, 1995.

[41] J. W. Park, B. T. Ahn and K. Lee, "Effects of F Implantation on the Characteristics of Poly-Si Films and Low-Temperature n-ch Poly-Si Thin-Film Transistors,"

Jpn.J.Appl.Phys, vol. 34, pp. 1436-1441, 1995.

[42] C. L. Fan and M. C. Chen, "Performance Improvement of Excimer Laser Annealed Poly-Si TFTs Using Fluorine Ion Implantation," Electrochemical and Solid-State Letters, vol. 5, pp. G75, 2002.

[43] C. H. Kim, S. H. Jung, J.S. Yoo, and M. K. Han, “ poly-Si TFT fabricated by laser-induced in-situ fluorine passivation and laser doping,” IEEE Electron Device lett.,vol. 22,pp. 396-398, Aug. 2001.

[44] C. A. Dimitriadis, P. A. Coxon, L. Dozsa, L. Papadimitriou, and N. Economou,

“Performance of thin-film transistors on polysilicon films grown by low-pressure chemical vapor deposition at various pressures,” IEEE Trans. Electron Devices, vol.

39, pp. 598-606, Mar. 1992.

[45] G. Yoon, A. Joshi, J. Kim and D. L. Kwong, "MOS characteristics of NH3-nitrided N2O-grown oxides," Electron Device Letters, IEEE, vol. 14, pp. 179-181, 1993.

[46] H. Momose, T. Morimoto, Y. Ozawa, K. Yamabe and H. Iwai, "Electrical characteristics of rapid thermal nitrided-oxide gate n and p-MOSFET's with less than 1 atom% nitrogen concentration," Electron Devices, IEEE Transactions on, vol.

41, pp. 546-552, 1994.

[47] Y. Okada, P. Tobin, P. Rushbrook and W. DeHart, "The performance and reliability of 0.4 micron MOSFET's with gate oxynitrides grown by rapid thermal processing using mixtures of N 2 O and O 2," Electron Devices, IEEE Transactions on, vol. 41, pp. 191-197, 1994.

[48] H. Hwang, W. Ting, B. Maiti, D. L. Kwong and J. Lee, "Electrical characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal oxidation of Si in NO," Appl. Phys. Lett., vol. 57, pp. 1010, 1990.

[49] S. Haddad and M. S. Liang, "Improvement of thin-gate oxide integrity using

through-silicon-gate nitrogen ion implantation," Electron Device Letters, IEEE, vol.

8, pp. 58-60, 1987.

[50] T. Kuroi, T. Yamaguchi, M. Shirahata, Y. Okumura, Y. Kawasaki, M. Inuishi and N.

Tsubouchi, "Novel NICE (Nitrogen Implantation into CMOS Gate Electrode and Source-Drain) Structure for High Reliability and High Performance 0.25 µm Dual gate CMOS," IEDM Tech.Dig, pp. 325–328, 1993.

[51] J. Levinson, F. Shepherd, P. Scanlon, W. Westwood, G. Este and M. Rider,

"Conductivity behavior in polycrystalline semiconductor thin film transistors," J.

Appl. Phys., vol. 53, pp. 1193, 1982.

[52] J. Y. W. Seto, "The electrical properties of polycrystalline silicon films," J. Appl.

Phys., vol. 46, pp. 5247, 1975

[53] R. Proano, R. Misage and D. Ast, "Development and electrical properties of undoped polycrystalline silicon thin-film transistors," Electron Devices, IEEE Transactions on, vol. 36, pp. 1915-1922, 1989.

[54] T. Noguchi, H. Hayashi, and T. Ohshima, “Low temperature polysilicon super-thin-transistor (LSFT),” Japan. J. Appl. Phys., vol. 25,p. L121, 1986.

[55] J. Y. Steo, “The electrical properties of polycrystalline silicon films,” J.Appl. Phys., vol.46,pp.5247-5254,1975

Chapter 2

High-Performance Poly-Si TFTs with High-κ

Pr

2

O

3

Gate Dielectric

相關文件