• 沒有找到結果。

Chapter 1 Introduction

1.3 Organization of the Thesis

In the following sections, we will show our research efforts.

In Chapter 2, the electrical characteristics and fabrication processes of low temperature poly-Si TFTs with silicon nitride capping layer will be proposed.

Experimental results reveal that the performance and reliability of our devices have remarkable improvements in comparison with conventional TFTs. Additionally, we

make a detail discussion to explain the results of experimental.

In Chapter 3, the fabrication processes and electrical characteristics of p-channel ploy-Si TFTs with different stripes of channel will be proposed. Experimental results reveal that poly-Si TFTs with multiple channels have better performance and reliability than the conventional TFTs. Then, we will make a complete discussion about the electrical characteristics and reliability issue of poly-Si TFTs with multiple channels.

In Chapter 4, the reliability issue of poly-Si TFTs with different channel geometry will be investigated by applying different drain bias. It is found that Ion degradation under both high and low drain bias of stress conditions has different phenomenon. Then, we will analyze the degradation mechanism under hot carrier stress with wide drain voltage bias for the devices with different channel geometry.

At the end of this thesis, we will make a conclusion in Chapter 5.

References:

[1] Y. Oana, “Current and future technology of low-temperature poly-Si TFT-LCDs,”

Journal of the SID, vol. 9, pp. 169-172, 2001.

[2] S. Morozumi, K. Oguchi, S. Yazawa, Y. Kodaira, H. Ohshima, and T. Mano, “B/W and color LC video display addressed by poly-Si TFTs,” SID Dig., pp.156, 1983.

[3] R. E. Proano, R. S. Misage, D. Jones, and D. G. Ast, “Guest-host active matrix liquid-crystal display using high-voltage polysilicon thin film transistors,” IEEE Trans. Electron Devices, vol. 38, pp. 1781, 1991.

[4] Mark Stewart, Robert S. Howell , Leo Pires, Miltiadis K. Hatalis, Webster Howard, and Olivier Prache, “Polysilicon VGA active matrix OLED displays – technology and performance”, in IEDM tech, Dig., 1998,pp.871-874

[5] Mark Stewart, Robert S. Howell , Leo Pires, Miltiadis K. Hatalis, “Polysilicon TFT technology for active matrix OLED displays,” IEEE Trans. Electron Devices, vol. 48,pp.845-851,2001.

[6] Zhiguo Meng and Man Wong, “Active-matrix organic light-emitting diode displays realized using metal-induced unilaterally crystallized polycrystalline silicon thin-film transistors,” IEEE Trans. Electron Devices, vol.49,pp.

991-996,2002.

[7] S. Batra, “Development of drain-offset (DO) TFT technology for high density SRAM’s,” Extended Abstracts, vol.94-2, in Electrochemical Soc. Fall Mtg., Miami Beach, FL, Oct. pp. 677,1994.

[8] M. Cao, et al., “A simple EEPROM cell using twin polysilicon thin-film transistors,” IEEE Trans. Electron Devices, vol. 15, pp. 304, 1994.

[9] N. D. Young, G. Harkin, R. M. Bunn, D. J. McCulloch, and I. D. French, “The fabrication and characterization of EEPROM arrays on glass using a low

temperature poly-Si TFT process,” IEEE Trans. Electron Devices, vol. 43, pp.

1930-1936, 1996.

[10] K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, “3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and system-on-chip integration,” Proceedings of the IEEE, vol.89, pp. 602-633, 2001.

[11] W. G. Hawkins, “Polycrystalline-Silicon device technology for large-area electronics,” IEEE Trans, Electron Devices, vol. 33, pp. 477-481, 1986

[12] I. –W. Wu, “Cell design considerations for high-aperture-ratio direct-view and projection polysilicon TFT-LCDs,” in SID Tech. Dig., pp. 19, 1995.

[13] S. D. S. Malhi, H. Shichijo, S. K. Vanerjee, R. Sundaresan, M. Elahy, G. P.

Pollack, W. F. Richardson, A. H. Shah, L. R. Hite, R. H. Womack, P. K.

Chatterjee, and H. W. Lam, IEEE Trans. Electron Devices, vol. 32, pp. 258, 1985.

[14] T. I. Kamins, Polycrystalline Silicon for Integrated Circuit Applications (Kluwer, Norwell, MA, 1988).

[15] C. H, Hong, C. Y. Park and H. J. Kim, “Structure and crystallization of low pressure chemical vapor deposited silicon films using Si2H2 gas,” J. Appl.

Phys., vol. 71, pp. 5427-5432, 1992.

[16] J. H. Jeon, M. C. Lee, K. C. Park, M. K. Han, “A new polycrystalline silicon TFT with a single grain boundary in the channel,” IEEE Electron Device Lett. Vol. 22, no. 9, pp. 429-431, 2001

[17] A. Nakamura, F. Emoto, E. Fujii, and A. Tamamoto, “A high-reliability, low-operation-voltage monolithic active-matrix LCD by using advanced solid-phase growth technique,” IEDM Tech. pp.847, 1990.

[18] G. K. Guist, and T. W. Sigmon, “High-performance laser-processed polysilicon

thin-film transistors,” IEEE Electron Device Lett., vol. 20, no. 2, pp. 77-79, Feb.

1999.

[19] N. Kudo, N. Kusumoto, T. Inushima, and S. Yamazaki, “Characterization of polycrystalline-Si thin-film transistors fabricated by excimer laser annealing method,” IEEE Trans. Electron Devices, vol. 40, pp. 1876-1879, Oct. 1994.

[20] S. W. Lee, T. H. Ihn, and S. K. Joo, “Fabrication of high-mobility p-channel poly-Si thin-film transistors by self-aligned metal-induced lateral crystallization,”

IEEE Electron Device Lett., vol. 17, no. 8, pp. 407-409, Aug. 1996.

[21] A. Yin, and S. J. Fonash, “High-performance p-channel poly-Si TFT’s using electron cyclotron resonance hydrogen plasma passivation,” IEEE Electron Device lett., vol.15, no. 12, pp. 502-503, 1994.

[22] C. K. Yang, T. F. Lei, C. L. Lee, “The combined effects of low pressure NH3 annealing and H2 plasma hydrogenation on polysilicon thin-film-transistors,”

IEEE Electron Device lett., vol. 15, pp. 389-390, 1994.

[23] J. W. Lee, N. I. Lee, J. I. Kan, C. H. Han, “Characteristics of polysilicon thin-film transistor with thin-gate dielectric grown by electron cyclotron resonance nitrous oxide plasma,” IEEE Electron Device lett., vol. 18, pp. 172-174, 1997.

[24] K. C. Moon, J. H. Lee, M. K. Han, “Improvement of polycrystalline silicon thin film transistor using oxygen plasma pretreatment before laser crystallization,”

IEEE Trans. Electron Devices, vol. 49, pp. 1319-1322, 2002.

[25] B. H. Min, C. M. Park and M. K. Han, “A novel offset gated polysilicon thin film transistor without and additional offset mask,” IEEE Electron Device lett., vol. 16, no. 5, pp. 161-163, 1995.

[26] P. S. Shih, C. Y. Chang, T. C. Chang, T. Y. Huang, D. Z. Peng and C. F. Yeh, “A novel lightly doped drain polysilicon thin-film transistor with oxide sidewall spacer formed by one-step selective liquid phase deposition,” IEEE Electron

Device lett., vol. 20, no. 8, pp.421-423, 1999.

[27] K. Y. Choi and M. K. Han, “A novel gate-overlapped LDD poly-Si thin-film transistor,” IEEE Electron Device lett., vol. 17, no. 12, pp. 566-568, 1996.

[28] T. Unagami and O. Kogure, “Large on/off current ratio and low leakage current poly-Si TFTs with multichannel structure,” IEEE Trans. Electron Devices, vol.35, no. 11, pp. 1986-1989, 1988.

[29] T. Y. Huang, A. G. Lewis, I. W. Wu, A. Chiang, and R. H. Bruce, “New intra gate offset high voltage thin film transistor with misalignment immunity,” Electronics lett., vol. 25, no. 8, pp. 544-545, 1989.

[30] C. S. Lai, C. L. Lee, T. F. Lei and H. N. Chern, “A novel vertical bottom-gate polysilicon thin film transistor with self-aligned offset,” IEEE Electron Device lett., vol. 17, no. 5, pp. 199-201, 1996.

[31] S. Maikap, C.-Y. Yu, S.-R. Jan, M. H. Lee, and C. W. Liu, “Mechanically Strained Strained-Si NMOSFETs,” IEEE Electron Device Lett., vol. 25, no. 1 pp.

40–42, 2004.

[32] Pai-hui Iris Hsu , M. Huang, H. Gleskova, Z. Xi, Z. Suo, S. Wagner, and James C.

Sturm, “Effects of Mechanical Strain on TFTs on Spherical Domes” IEEE Trans.

Electron Devices, vol. 51, no. 3, pp. 371-377, 2004

[33] Tsung Yi Lu and Tien Sheng Chao, “Mobility Enhancement in Local Strain Channel nMOSFETs by Stacked a-Si/Poly-Si Gate and Capping Nitride,” IEEE Electron Device Lett., vol. 26, no. 4 pp. 267–269, 2005.

[34]R. Tsuchiya, K. Ohnishi, M. Horiuchi, S. Tsujikawa, Y. Shimamoto, N. Inada, J.

Yugami, F. Ootsuka, and T. Onai, “Femto-second CMOS technology with high-k offset spacer and SiN gate dielectric with oxygen-enriched interface,” in VLSI Tech. Symp. Dig., HI, 2002, pp. 150–151.

[35] H. Kuriyama, Y. Ishigaki, Y. Fujii, S. Maegawa, S. Maeda, S. Miyamoto, K.

Tsutsumi, and H. Miyoshi, “A C-Switch Cell for Low-Voltage Operation and

High-Density SRAMs,” in IEDM Tech. Dig., pp. 279-282, 1996.

[36] A. Mimura, J. I. Ohwada, Y. Hosokawa, T. Suzuki, H. Kawakami, and K. Miyata,

“A High-Resolution Active Matrix Using p-Channel SO1 TFT’s,” IEEE Trans.

Electron Devices, vol. 35, no. 4, pp. 418-425, 1988.

[37] Nae-In Lee, Jin-Woo Lee, Hyoung-Sub Kim, and Chul-Hi Han,

“High-Performance EEPROM’s Using N- and P-Channel Polysilicon Thin-Film Transistors with Electron Cyclotron Resonance N O-Plasma Oxide”

IEEE Electron Device Lett., Vol. 20, no. 1, pp. 15-17, 1999.

[38] N. Yamauchi, J-J. J. Hajjar, Rafael Reif, Kenji Nakazawa, and Keiji Tanaka,

“Characteristics of narrow-channel polysilicon thin-film transistors,” IEEE Trans.

Electron Devices, vol. 38, no. 11, pp. 1967-1968, 1991.

[39] D. N. Yaung, Y. K. Fang, K. C. Hwang, K. Y. Lee, K. H. Wu, J. J. Ho, C. Y.

Chen, Y. J. Wang, M. S. Liang, J. Y. Lee, and S. G. Wuu, “Narrow width effects of bottom-gate polysilicon thin film transistors,” IEEE Electron Device Lett., vol.

19, PP, 429-431, 1988.

[40] H. W. Zan, T. C. Chang, P. S. Shih, D. Z. Peng, T. Y. Huang, and C. Y. Chang,

“Analysis of Narrow Width Effects in polycrystalline Silicon Thin Film Transistors,” Jpn. J. Appl. Phys., vol. 42, part 1, no. 1, pp. 28-32, 2003.

[41] T. Unagami, “High-voltage poly-Si TFT’s with multichannel structure,” IEEE Trans. Electron Devices, vol. 35, no. 12, pp. 2363-2367, 1991.

[42] T. Takeshita, T. Unagami, and O. Kogure, “Study on narrow-strip polycrystalline silicon thin film transistors,” J. Appl. Phys., vol. 27, no. 10, pp. 1937-1941, 1988.

[43] B. S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A.

Murthy, R. Rios, and R. Chau, “High Performance Fully-Depleted Tri-Gate CMOS Transistors,” IEEE Electron Device Lett., vol. 24, no. 4, PP. 263-265, 2003.

[44] P. H. Worelee, C. Juffermans, H. Lifka, W. Manders, F. M. Oude Lansink, G. M.

Paulzen, P. Sheridan, and A. Walker, “A half-micron CMOS technology using ultra-thin silicon on insulator,” in IEDM Tech. Dig., pp. 583-586, 1990.

[45] C. M. Hu, S. C. Tam, F. C. Hsu, P. K. Ko, T. Y. Chan, and K. W. Terrill, “Hot electron induced MOSFET degradation—model, monitor, and improvement,”

IEEE Trans. Electron Devices, vol. 32, no. 2, pp. 375-385, 1985.

[46] L. T. Su, H. Fang, J. E. Chung, and D. A. Antoniadis, “Hot-carrier effects in fully-depleted SOI nMOSFETs,” in IEDM Tech. Dig., pp. 349-352, 1992.

[47] J. W. Ratkovic, W. M. Huang, B. Y. Hwang, M. Racanelli, J. Forestner, and J.

Woo, “Novel device lifetime behavior and hot-carrier degradation mechanisms under VGS≈VTH stress for thin-film SOI nMOSFETs,” in IEDM Tech. Dig., pp.

639-642, 1995.

[48] J. W. Ratkovic, W. M. Huang, B. Y. Hwang, M. Racanelli, J. Forestner, and J.

Woo, “Lifetime reliability of thin-film SOI nMOSFET’s,” IEEE Electron Device lett., vol. 16, no. 9, pp. 387-389, Sept. 2001.

Chapter 2

Performance Enhancement of Low Temperature Polysilicon Thin Film Transistor with Silicon Nitride

Capping layer

2.1 Introduction

In recent years, flexible electronics have been investigated and were paid attention, such as electronic paper, flexible display, sensor skin and electrotextiles. It required building electron device on flexible and deformable substrate [1]-[4].

Therefore, device will be stressed when operating flexible electronics. In this condition, mechanical strain is a major force to stress device.

There are many researches to comprehend effect of mechanical strain in device.

In previous reported, they measured device characteristic when device under mechanical strain. it was found that tensile strain improves the electron mobility and the drain current of n-channel device including MOSFETs and TFTs [5][6]. On the contrary, Compressive strain reduced the electron mobility.

Recently, the strain-Si MOSFETs have become attractive for high speed complementary-metal-oxide-semiconductor (CMOS) device applications [7][8].the improvement of carrier mobility has been intensely studied by introducing strain in the channel region, such as strained-Si on SiGe substrate. However, the fabrication of the strained-Si devices is more complicated, such as forming a relaxed SiGe buffer layer. Previously studied indicated that uniaxial strained channel from contact etch stop silicon nitride (SiN) layer increase current drivability[9][10]. Because silicon

nitride layer has tensile strain and further forming compressively strained polysilicon gate electrode and then give a tensile stress on the channel.

In this chapter, we fabricated poly-Si TFTs with capping silicon nitride layer to created a tensile stress on the channel. It was found that this device have better electrical characteristic than convention poly-Si TFTs. We enhanced electron mobility and on current due to local tensile strain and low source/drain series resistance and then suppressed kink effect, gate induced drain leakage(GIDL) effect and improved reliability due to low horizontal electric field in Capping Nitride poly-Si TFTs. We will make a detail discussion in later section.

2.2 Device Fabrication

Figure 2-1 show the process flow and cross-sectional view of the investigated poly-Si TFT. First, 500-nm-thick thermal oxide was grown on the Si wafer by using a furnace system. All the experimental devices in this study were fabricated on thermally oxidized Si wafers. Then, 50-nm-thick amorphous silicon layers were deposited on the thermal oxide layer using a low-pressure chemical vapor deposition (LPCVD) system at 550°C. Then, amorphous silicon films were recrystallized by solid phase crystallization (SPC) method at 600°C for 24 hours in an N2 ambient to form poly-Si films. Poly-Si films were patterned into active regions by transformer couple plasma (TCP) etching system using mixture gases of Cl2 and HBr.

After RCA cleaning procedure, a 50-nm-thick TEOS oxide was deposited by LPCVD with TEOS and O2 gases at 695°C to form the gate insulator. A 150-nm-thick poly-Si was deposited to serve as the gate electrode by LPCVD at 595°C. Then, the poly-Si film was patterned and etched by TCP etching system to form the gate electrode and the gate oxide on source/drain was removed using dilute HF solution.

The regions of source, drain, and gate were doped by a self-aligned phosphorous ion

implantation at the dosage and energy of 5×1015ions/cm-2 and 20keV, respectively.

The dopant activation was performed by furnace system at 600°C for 12 hours, followed by a deposition of 250nm-thick silicon nitride layer and 300nm-thick passivation oxide using PECVD system at 350°C and the definition of contact holes.

Then, weetched passivation oxide by BOE solution. After removed passivation oxide, 90% silicon nitride layer was etched by dry etching system and utilized oxide layer as a hard mask for etching 10% silicon nitride layer by wet etch in H3PO4 solution.

Finally, a 800-nm-thick Al was deposited by sputter and patterned for metal pads, and devices were passivated by NH3 plasma treatment for 30 minute at 300°C. For comparison, the control samples have no capping nitride layer. It only deposited passivaton oxide using PECVD system at 350°C.

2.3 Method of Device Parameter Extraction

In this thesis, we use Ellipsometer to measure the thickness of poly-Si, amorphous-Si and TEOS oxide films in the fabrication procedure. All the electrical characteristics of proposed poly-Si TFTs were measured by HP 4156B-Precision Semiconductor Parameter Analyzer.

Many methods have been proposed to extract the characteristic parameters of poly-Si TFTs. In this section, those methods are described.

2.3.1

Determination of Threshold Voltage

Threshold voltage (Vth) is an important parameter required for the channel length-width and series resistance measurements. However, Vth is not uniquely defined. Various definitions have been proposed and the reason can be found in ID-VGS curves. One of the most common techniques is the linear extrapolation method with the drain current measured as a function of gate voltage at a low drain voltage of

50~100mV to ensure operation in the linear region [17]. The drain current is not zero when VGS below threshold voltage and approaches zero asymptotically. Hence the IDS

versus VGS curve can be extrapolated to ID=0, and the Vth is determined from the extrapolated intercept of gate voltage (VGS) by

2

Equation (2.1) is strictly only valid for negligible series resistance. Fortunately series resistance is usually negligible at the low drain current when threshold voltage measurements are made. The IDS-VGS curve deviates from a straight line at gate voltage below Vth due to subthreshold current and above Vth due to series resistance and mobility degradation effects. It is common practice to find the point of maximum slope of the IDS-VGS curve and fit a straight line to extrapolate to ID=0 by means of finding the point of maximum of transconductance (Gm).

In this thesis, we use a simpler method to determinate the Vth called constant drain current method. The voltage at a specified threshold drain current is taken as the Vth. This method is adopted in the most studied papers of poly-Si TFTs. It can be given a threshold voltage close to that obtained by the complex linear extrapolation method. Typically, the threshold current is specified at (W/L)×10nA for VDS=0.1V and (W/L)×100nA for VDS=5V, where W and L are channel width and channel length, respectively.

2.3.2

Determination of Subthreshold-Swing

Subthreshold swing (S.S.) is a typical parameter to describe the control ability of gate toward channel, which reflects the turn on/off speed of a device. It is defined as the amount of gate voltage required to increase/decrease drain current by one order of magnitude.

The S.S. should be independent of drain voltage and gate voltage. However, in reality, the S.S. increases with drain voltage due to channel shortening effect such as charge sharing, avalanche multiplication and punchthrough effect. The subthreshold swing is also related to gate voltage due to undesirable and inevitable factors such as the serial resistance and interface states.

In this thesis, the S.S. is defined as one-third of the gate voltage required to decrease the threshold current by three orders of magnitude. The threshold current is specified to be the drain current when the gate voltage is equal to threshold voltage.

2.3.3

Determination of Field Effect Mobility

Usually, field effect mobility (µeff) is determined from the maximum value of transconductance (Gm) at low drain bias. The transfer characteristics of poly-Si TFTs are similar to those of conventional MOSFETs, so that the first order of I-V relation in the bulk Si MOSFETs can be applied to poly-Si TFTs. The drain current in linear region (VDS<VGS-Vth) can be approximated as the following equation:

( )



2.3.4

Determination of ON/OFF Current Ratio

On/off current ratio is one of the most important parameters of poly-Si TFTs since a high-performance device exhibits not only a large on-current but also a small off-current (leakage current). The leakage current mechanism in poly-Si TFTs is not like that in MOSFET. In MOSFET, the channel is composed of single crystalline Si and the leakage current is due to the tunneling of minority carrier from drain region to accumulation layer located in channel region. However, in poly-Si TFTs, the channel is composed of poly-Si. A large amount of trap state densities in grain structure attribute a lot of defect states in energy band gap to enhance the tunneling effect.

Therefore, the leakage current is much larger in poly-Si TFTs than in MOSFET. When the voltage drops between gate voltage and drain voltage increases, the band gap specified as the minimum current when drain voltage equals to 5V.

V

2.3.5

Extraction of Grain Boundary Trap State Density

The Trap State Density (Nt), which can be determined by the theory established by Levinson et al. [18], which is based on Seto’s theory [19].

For poly-Si TFTs, the drain current IDS can be given as following:

 equation with an activated mobility, which depends on the grain-boundary barrier height. Levinson et al. assumed that the channel thickness was constant and equal to induced carrier channel thickness by solving Poisson’s equation for an undoped material and to define the channel thickness (Lc) as a thickness in which 80% of the total charges were induced by the gate. Doing so, one obtains

which varies inversely with (VGS−Vfb). This predicts, by substituting Eq.2.7 into Eq.2.6, that ln[IDS/(VGS−Vfb)] versus 1/(VGS−Vfb)2. We use the gate voltage at which minimum leakage current occurs as flat-band voltage (Vfb). Effective trap-state density (Nt) can be determined from the square root of the slope.

2.4 Results and Discussion

2.4.1 Characteristics of poly-Si TFTs with capping silicon nitride layer

We measured device characteristics while we have done the device fabrication.

Fig. 2-2 shows the transfer characteristics (IDS-VGS) for the control and Capping Nitride poly-Si TFTs. The measurements was performed at drain voltage of VDS=5V.

The measured and extracted parameters from the devices are listed in table 2-1. the threshold voltage, subthreshold swing, on-current (VGS=20V), and off-current (VGS=-10V) were measured at VDS=5V.

In the Fig.2-2, we can see that the Capping Nitride poly-Si TFTs exhibit better on-state characteristics than the control sample. In off-state, under a large negative gate bias, the leakage currents of the Capping Nitride poly-Si TFTs (1.71*10-10) are significant lower than the control poly-Si TFTs (1.61*10-9). It was quite obvious that gate induce drain leakage (GIDL) was suppressed in Capping Nitride poly-Si TFTs.

Moreover, the threshold voltage and subthreshold swing of the Capping Nitride poly-Si TFTs (0.16V & 0.98V/dec.) was found to be superior to the control sample (0.67V & 1.25V/dec.). Fig. 2-3, we compared the field effect mobility between those two samples, the proposed TFTs shows large enhancement of mobility compared with conventional TFTs. They were found to be 23(cm2/V.s) and 32.5(cm2/V.s) for the control and Capping Nitride poly-Si TFTs, respectively. The mobility of Capping Nitride poly-Si TFTs has greatly enhancement by 41.3%. This is due to capping nitride layer has tensile strain and further forming compressively

Moreover, the threshold voltage and subthreshold swing of the Capping Nitride poly-Si TFTs (0.16V & 0.98V/dec.) was found to be superior to the control sample (0.67V & 1.25V/dec.). Fig. 2-3, we compared the field effect mobility between those two samples, the proposed TFTs shows large enhancement of mobility compared with conventional TFTs. They were found to be 23(cm2/V.s) and 32.5(cm2/V.s) for the control and Capping Nitride poly-Si TFTs, respectively. The mobility of Capping Nitride poly-Si TFTs has greatly enhancement by 41.3%. This is due to capping nitride layer has tensile strain and further forming compressively

相關文件