• 沒有找到結果。

Area Overhead Due to Extra Devices

Chapter 5 Power-Gating Technique In Ultra-Low Power SRAM Cell Array

5.7 Three Typical Schemes for Comparison

5.7.8 Area Overhead Due to Extra Devices

Since the new scheme includes some extra devices, the area overhead must be taken into account. Fig. 5.25 compares the area of conventional and new scheme for several block sizes. Fig. 5.25 shows that the area for 8-bit block scheme is much larger than the others because of more AND gates and gating devices. Besides, the overhead percentage keeps constant with various lengths of wordlines.

Wordline length

Fig. 5.25 Area comparison of conventional and new scheme with different block sizes.

Fig. 5.26 summarizes the area overhead for different block sizes. For an 8-bit block wordline, about 54% area overhead comes from the AND gates since in this situation the gating devices are smaller, and totally a 20.7% area increase is presented.

For a 16-bit wordline, however, a total 12.1% area increase is obtained and about 44%

of it comes from the AND gates. Finally, for a 32-bit block wordline, a total 8.1% area overhead is induced and only 34% of it comes from the AND gates. Fig. Although the overall area overhead is getting less severe with larger block size, the influence of gating devices is getting severe and the AND gates are becoming less significant. This is because that the number of AND gates is becoming fewer while the sizes of gating devices are becoming larger.

Block size

Fig. 5.26 Summary of area overhead.

5.8 Conclusion

The design issues of gated-VDD SRAM are discussed and some prior designs are introduced in this chapter. Moreover, an ultra-low active-power gated-VDD SRAM architecture is realized.

The column/row co-controlled scheme divides the SRAM cells on the same wordline into blocks, and one gating device is responsible for one block. The cell active power of the scheme is much smaller than the other two schemes compared.

Simulation also shows that the scheme achieves a significant reduction of power-delay product. This demonstrates the effectiveness of this new scheme in reducing active power consumption with insignificant performance degradation.

The layout is implemented with 0.13um CMOS technology, and a SRAM cell occupies an area of about 2.40um x 1.91um. The new scheme has larger silicon area because of the extra AND gates and gating devices. About 20.7% and 12.1% area increases are obtained for 8-bit block and 16-bit block situations, respectively.

Besides, only 8.1% area overhead is induced for 32-bit block condition.

Chapter 6 Conclusions

As technology continues to scale down, subthreshold leakage is becoming worse and it has the potential to dominate the whole power consumption of a chip. Many researches and predictions have showed that leakage power is becoming comparable with dynamic power in nano-scale technologies. In the past, leakage currents are ignored because they are insignificant compared to dynamic currents, since threshold voltage is high. In the deep-submicron and nano-scale technologies, however, IC designers must pay much more attention to leakage currents and some circuit techniques and design considerations must be developed to control leakage currents in both active and standby modes.

The dominant leakage source, subthreshold current, increases exponentially with the scaling of threshold voltage. Therefore, many techniques that dynamically adjust threshold voltage by applying body bias are developed in recent decades. Threshold voltage is raised with reversed body bias, while it’s lowered with the application of forward body bias. Power gating is another popular technique to suppress leakage current in standby mode. It inserts sleep transistors between virtual and real power lines and those transistors are turned on in active mode and turned off in standby mode. In standby mode, the leakage currents are reduced due to the stacking of off transistors. All the low power design techniques have been reviewed in Chapter 2.

In this thesis dynamic body-biasing and power-gating techniques are investigated and applied to SRAM cell arrays to observe the effectiveness in suppressing leakage.

Notice that this thesis focuses on applying reversed body bias and the reduction of leakage currents. For the purpose of flexibility and reusability in SoC systems, a configurable scheme for generating multi-level body-bias voltages is presented in Chapter 3 and various voltage levels can be produced through control signals. For SoC designs, several supply and body-bias voltages are necessary for biasing different parts of the designs. Therefore, an on-chip configurable voltage generator is quite useful since no other kinds of voltage generators are required. Besides, another dual-level body bias generator is also constructed in Chapter 4 and it produces two voltage levels according to control signal. The dual-level body bias generator is applied to SRAM cell arrays and a great amount of leakage saving in standby mode is observed. Moreover, the net standby power saving is significant even the power overhead of body bias generator is included. The average power consumption of body bias generator converges with time so that the net power saving is time dependent.

Simulation results show that about 75% net power saving is achieved for 64-bit wordline and 64% for 32-bit wordline. A time-out-policy controller that monitors the

activities of wordline signals controls the body bias generator. The controller detects the activities of wordline signals and filters out short standby periods. Body bias generators are enabled if no active wordline occurs within predetermined time period.

In the rest of this thesis, power-gating technique is applied to SRAM cell array designs, and a low active and standby power SRAM scheme is presented. The gating devices are controlled by signals from both column and row decoders and only selected cells are power-on. The SRAM cells on the same wordline are divided into blocks and each block shares a common gating devices. For each read/write operation, only selected block is power-on and others are power-gated. Simulation results show that for 64-bit wordlines, 59% active power saving is achieved for 32-bit block, 79%

for 16-bit block, and 94% for 8-bit block conditions. However, this scheme induces area overhead since some extra AND gates and gating devices are added. About 20.7% and 12.1% area increases are obtained for 8-bit block and 16-bit block conditions, respectively. Besides, only 8.1% area overhead is induced for 32-bit block condition. Although the AND gates induce performance overhead, power-delay produces demonstrate that the influences are insignificant.

The configurable body-bias generator in Chapter 3 is simulated in TSMC 100nm technology, while the simulations and physical layout in Chapter 4 and 5 are based on TSMC 0.13um technology.

References

References of Chapter 1

[1.1] K. Bowman, S. Duvall, and J. Meindl, “Impact of Die-to-Die and Within-Die Parameter Fluctuations on the Maximum Clock Frequency Distribution for Gigascale Integration,” IEEE Journal of Solid-State Circuits, vol. 37, pp. 183-190, Feb. 2002.

[1.2] J. Tschanz, S. Narendra, R. Nair, V. De, “Effectiveness of Adaptive Supply Voltage and Body Bias for Reducing Impact of Parameter Variations in Low Power and High Performance Microprocessors,” IEEE Journal of Solid-State Circuits, vol.

38, pp. 826-829, .May 2003.

[1.3] http://www-device.eecs.berkeley.edu: BSIM 100nm and 70nm predictive technology process files.

[1.4] International Technology Roadmap for Semiconductors 2001 edition, Semiconductor Industry Association, http://public.itrs.net.

References of Chapter 2

[2.1] T. Inukai, M. Takamiya, K. Nose, H. Kawaguchi, T. Hiramoto, and T. Sakurai,

“Boosted Gate MOS (BGMOS): Device/Circuit Cooperation Scheme to Achieve Leakage-Free Giga-Scale Integration,” Custom Integrated Circuits Conference, pp.

409-412, 2000.

[2.2] B. Chatterjee, M. Sachdev, S. Hsu, R. Krishnamurthy, and S. Borkar,

“Effectiveness and Scaling Trends of Leakage Control Techniques for Sub-130nm CMOS Technologies,” International Symposium on Low Power Electronics and Design, pp. 122-127, 2003.

[2.3] S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De,

“Parameter Variations and Impact on Circuits and Microarchitecture,” Design Automation Conference, pp. 338-342, June 2003.

[2.4] Y. Liu, C. Wu, C. Chang, R. Yang, W. Chen, J. Liaw, and C. Diaz, “Leakage Scaling in Deep Submicron CMOS for SoC”, IEEE Transaction on Electron Devices, vol. 49, pp. 1034-1041, June 2002.

[2.5] A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry, T. Ghani, S. Borkar, and V. De, “Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs,” International Symposium on Low Power Electronics and Design, pp.

207-212, 2001.

[2.6] J. Tschanz, S. Narendra, Y. Ye, B. Bloechel, S. Borkar, and V. De, “Dynamic Sleep Transistor and Body Bias for Active Leakage Power Control of Microprocessors,” IEEE Journal of Solid State Circuits, vol. 38, pp. 1838-1845, Nov.

2003.

[2.7] C. Neau, and K. Roy, “Optimal Body Bias Selection for Leakage Improvement and Process Compensation Over Different Technology Generations,” International Symposium on Low Power Electronics and Design, pp. 116-121, 2003.

[2.8] X. Liu, and S. Mourad, “Performance of Submicron CMOS Devices and Gates With Substrate Biasing,” International Symposium on Circuits and Systems, pp.

IV9-IV12, 2000.

[2.9] A. Keshavarzi, S. Narendra, S. Borkar, C. Hawkins, K. Roy, and V. De,

“Technology Scaling Behavior of Optimum Reverse Body Bias for Standby Leakage Power Reduction in CMOS IC’s,” International Symposium on Low Power Electronics and Design, pp. 252-254, 1999.

[2.10] M. Chen, H. Huang, C. Hou, and K. Yang, “Back-Gate Bias Enhanced Band-to-Band Tunneling Leakage in Scaled MOSFET’s,” IEEE Electron Device Lett.,

vol. 19, pp. 134-136, 1998.

[2.11] T. Kobayashi, and T. Sakurai, “Self-Adjusting Threshold-Voltage Scheme (SATS) for Low-Voltage High-Speed Operation,” Custom Integrated Circuits Conference, pp. 271-274, 1994

[2.12] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M. Norishima, M. Murota, M. Kako, M. Kinugawa, M. Kakumu, and T. Sakurai, “A 0.9V, 150-MHz, 10-mW, 4mm2, 2-D Discrete Cosine Transform Core Processor With Variable Threshold-Voltage (VT) Scheme,” IEEE Journal of Solid-State Circuits, vol.

31, pp. 1770-1779, Nov. 1996.

[2.13] M. Miyazaki, G. Ono, and K. Ishibashi, “A 1.2-GIPS/W Microprocessor Using Speed-Adaptive Threshold-Voltage CMOS With Forward Bias,” IEEE Journal of Solid-State Circuits, vol. 37, pp. 210-217, Feb. 2002.

[2.14] K. Nose, M. Hirabayashi, H. Kawaguchi, S. Lee, and T. Sakurai,

“Vth-Hopping Scheme to Reduce Subthreshold Leakage for Low-Power Processors,”

IEEE Journal of Solid-State Circuits, vol. 37, pp. 413-419, March 2002.

[2.15] J. Kao, M. Miyazaki, and A. Chandrakasan, “A 175-mV Multiply-Accumulate Unit Using an Adaptive Supply Voltage and Body Bias Architecture,” IEEE Journal of Solid State Circuits, vol. 37, pp. 1545-1554, Nov. 2002.

[2.16] J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan,

“Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage,” IEEE Journal of Solid State Circuits, vol. 37, pp. 1396-1402, Nov. 2002.

[2.17] K. Seta, H. Hara, T. Kuroda, M. Kakumu, and T. Sakurai, “50% Active-Power Saving Without Speed Degradation Using Standby Power Reduction (SPR) Circuit,”

International Solid-State Circuits Conference Dig. Tech. Papers, pp. 318-319, 1995.

[2.18] J. Tschanz, S. Narendra, Y. Ye, B. Bloechel, S. Borkar, and V. De, “Dynamic Sleep Transistor and Body Bias for Active Leakage Power Control of Microprocessors,” IEEE Journal of Solid State Circuits, vol. 38, pp. 1838-1845, Nov.

2003.

[2.19] G. Ono, and M. Miyazaki, “Threshold-Voltage Balance for Minimum Supply Operation,” IEEE Journal of Solid State Circuits, vol. 38, pp. 830-833, May 2003.

[2.20] D. Lackey, P. Zuchowski, T. Bednar, D. Stout, S. Gould, and J. Cohn,

“Managing Power and Performance for System-on-Chip Designs using Voltage Islands,” IEEE/ACM International Conference on Computer-Aided Design, pp.

195-202, No. 2002.

[2.21] C. Bertin, A. Dean, K. Goodnow, S. Gould, W. Pricer, W. Tonti, and S.

Ventrone, “Managing Vt for Reduced Power Using a Status Table,” USA patent 6345362, Feb. 2002.

References of Chapter 3

[3.1] K. Itoh, K. Sasaki, and Y. Nakagome, “Trends in Low-Power RAM Circuit Technologies,” Proceeding of the IEEE, vol. 83, No. 4, April 1995.

[3.2] K. Itoh, Y. Nakagome, S. Kimura, and T. Watanabe, “Limitations and Challenges of Multigigabit DRAM Chip Design,” IEEE Journal of Solid-State Circuits, vol. 32, No. 5, pp. 624-634, May 1997.

[3.3] H. Masuda, R. Hori, Y. Kamigaki, K. Itoh, H. Kawamoto, and H. Katto, “A 5 V-Only 64K Dynamic RAM Based on High S/N Design,” IEEE Journal of Solid-State Circuits, vol. SC-15, No. 5, pp. 846-854, Oct. 1980.

[3.4] K. Itoh, "VLSI Memory Chip Design," Springer Verlag, 2001.

[3.5] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M.

Norishima, M. Murota, M. Kako, M. Kinugawa, M. Kakumu, and T. Sakurai, “A 0.9V, 150-MHz, 10-mW, 4mm2, 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme,” IEEE Journal of Solid-State Circuits, vol.

31, pp. 1770-1779, Nov. 1996.

[3.6] H. Mizuno, K. Ishibashi, T. Shimura, T. Hattori, S. Narita, K. Shiozawa, S.

Ikeda, and K. Uchiyama, “An 18-A Standby Current 1.8-V, 200-MHz Microprocessor with Self-Substrate-Biased Data-Retention Mode,” IEEE Journal of Solid-State Circuits, vol. 34, pp. 1492-1500, Nov. 1999.

[3.7] M. Miyazaki, G. Ono, T. Hattori, K. Shiozawa, K. Uchiyama, and K. Ishibashi,

“A 1000-MIPS/W Microprocessor Using Speed-Adaptive Threshold-Voltage CMOS with Forward Bias,” International Solid-State Circuits Conference Dig. Tech. Papers, pp. 420–421, Feb. 2000.

[3.8] J. Kao, M. Miyazaki, and A. Chandrakasan, “A 175-mV Multiply-Accumulate Unit Using an Adaptive Supply Voltage and Body Bias Architecture,” IEEE Journal of Solid State Circuits, vol. 37, pp. 1545-1554, Nov. 2002.

[3.9] T. Tanzawa, T. Tanaka, K. Takeuchi, and H. Nakamura, “Circuit Technologies for a Single-1.8 V Flash Memory,” Symposium of VLSI Circuits Dig. Tech. Papers, pp.

63–64, June 1997.

[3.10] J. Dickson, “On-Chip High-Voltage Generation in NMOS Integrated Circuits Using an Improved Voltage Multiplier Technique,” IEEE Journal of Solid-State Circuits, vol. 11, pp. 374–378, June 1976.

[3.11] J. Wu, and K. Chang, “MOS Charge Pumps for Low-Voltage Operation,” IEEE Journal of Solid-State Circuits, vol. 33, pp. 592–597, April 1998.

[3.12] J. Shin, I. Y. Chung, Y. J. Park, and H. S. Min, “A New Charge Pump Without

Degradation in Threshold Voltage Due to Body Effect,” IEEE Journal of Solid-State Circuits, vol. 35, pp. 1227–1230, Aug. 2000.

[3.13] K. Sawada, Y. Sugawara, and S. Masui, “An On-Chip Voltage Generator Circuit for EEPROM’s with a Power-Supply Voltage below 2 V,” Symposium of VLSI Circuits Dig. Tech. Papers, 1995, pp. 75–76.

[3.14] K. Choi, J. Park, J. Kim, T. Jung, and K. Suh, “Floating Well Charge Pump Circuits for Sub-2.0 V Single Power Supply Flash Memories,” Symposium of VLSI Circuits Dig. Tech. Papers, pp. 61–62, June 1997.

[3.15] J. Starzyk, Y. Jan, and F. Qiu, “A DC-DC Charge Pump Design Based on Voltage Doublers,” IEEE Transaction on Circuits System I, vol. 48, pp. 350–358, March 2001.

[3.16] Y. Nakagome, H. Tanaka, K. Takeuchi, E. Kume, Y. Watanabe, T. Kaga, Y.

Kawamoto, F. Murai, R. Izawa, D. Hisamoto, T. Kisu, T. Nishita, E. Takeda, and K.

Itoh, “An experimental 1.5 V 64Mb DRAM,” IEEE Journal of Solid-State Circuits, vol. 26, pp. 465–472, Apr. 1991.

[3.17] T. Cho, and P. Gray, “A 10-bit, 20 MS/s, 35 mW Pipeline A/D Converter,”

IEEE Custom Integrated Circuits Conference, pp. 499–502, 1994.

[3.18] P. Favrat, P. Deval, and M. Declercq, “A High-Efficiency CMOS Voltage Doubler,” IEEE Journal of Solid-State Circuits, vol. 33, pp. 410–416, Apr. 1998.

[3.19] K. Sato, H. Kawamoto, K. Yanagisawa, T. Matsumoto, and S. Shimizu, “A 20ns Static Column 1Mb DRAM in CMOS Technology,” International Solid-state Circuits Conference Dig. Tech. Papers, pp. 254–255, Feb. 1985.

[3.20] Y. Tsukikawa, T. Kajimoto, Y. Okasaka, Y. Morooka, K. Furntani, H.

Miyamoto, and H. Ozaki, “An Efficient Back-Bias Generator with Hybrid Pumping Circuit for 1.5 V DRAM’s,” IEEE Journal of Solid-State Circuits, vol. 29, pp.

534–538, Apr. 1994.

[3.21] K. Min, and J. Chung, “A Fast Pump-Down VBB Generator for Sub-1.5V DRAMs,” IEEE Journal of Solid-State Circuits, vol. 36, pp. 1154–1157, July 2001.

[3.22] Behzad Razavi, Principles of data conversion system design, IEEE Press, New York, 1995.

References of Chapter 4

[4.1] H. Kawaguchi, Y. Itaka, and T. Sakurai, “Dynamic Leakage Cut-off Scheme for Low-Voltage SRAM’s,” Symposium on VLSI Circuits Dig. Tech. Papers, pp.

140–141, 1998.

[4.2] R. Fujioka, K. Katayama, R. Kobayashi, H. Ando, and T. Shimada, “A Preactivating Mechanism for a VT-CMOS Cache using Address Prediction,”

International Symposium on Low Power Electronics and Design, pp. 247-250, 2002.

[4.3] K. Nii, H. Makino, Y. Tujihashi, C. Morishima, Y. Hayakawa, H. Nunogami, T.

Arakawa, and H. Hamano, “A Low Power SRAM using Auto-Backgate-Controlled MT-CMOS,” International Symposium on Low Power Electronics and Design, pp.

293-298, 1998.

[4.4] C. Kim, K. Roy, “Dynamic Vt SRAM: A Leakage Tolerant Cache Memory for Low Voltage Microprocessors,” International Symposium on Low Power Electronics and Design, pp. 251-254, 2002.

[4.5] C. Kim, J. Kim, S. Mukhopadhyay, and K. Roy, “A Forward Body-Biased Low-Leakage SRAM Cache: Device and Architecture Considerations,” International Symposium on Low Power Electronics and Design, pp. 6-9, 2003.

References of Chapter 5

[5.1] J. Kao and A. Chandrakasan, “Dual-Threshold Voltage Techniques for Low-Power Digital Circuits,” IEEE Journal of Solid-State Circuits, vol. 35, pp.

1009-1018, July 2000.

[5.2] T. Kawahara, M. Horiguchi, Y. Kawajiri, G. Kitsukawa, T. Kure, M. Aoki,

“Subthreshold Current Reduction for Decoded-Driver by Self-Reverse Biasing,”

IEEE Journal of Solid-State Circuits, vol. 28, pp. 1136-1144, Nov. 1993.

[5.3] Z. Chen, M. Johnson, L. Wei, and K. Roy, “Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistors Stacks,”

International Symposium on Low Power Electronics and Design, pp. 239-244, 1998.

[5.4] A. Agarwal, and Kaushik Roy, “A Noise Tolerant Cache Design to Reduce Gate and Sub-threshold Leakage in the Nanometer Regime,” International Symposium on Low Power Electronics and Design, pp. 18-21, Aug. 2003.

[5.5] E. Seevinck, F. J. List, and J. Lohstroh, “Static-Noise Margin Analysis of MOS SRAM Cells,” IEEE Journal of Solid-State Circuits, vol. 22, pp. 748-754, Oct. 1987.

[5.6] K. Cao, W. C. Lee, W. Liu, X. Jin, P. Su, S. Fung, J. An, B. Yu, and C. Hu,

“BSIM4 Gate Leakage Model Including Source Drain Partition,” IEEE International Electron Device Meeting Tech. Dig., pp. 815-818, 2000.

[5.7] A. Agarwal, H. Li, and K. Roy, “A Single-Vt Low-Leakage Gated-Ground Cache for Deep Submicron,” IEEE Journal of Solid-State Circuits, vol. 38, pp.

319-328, Feb. 2003.

[5.8] K. Nii, Y. Tsukamoto, T. Yoshizawa, S. Imaoka, and H. Makino, “A 90nm Dual-Port SRAM with 2.04um2 8T-Thin Cell Using Dynamically-Controlled Column Bias Scheme,” International Solid-State Circuits Conference Dig. Tech. Papers, pp.

508-509, Feb. 2004.

Vita

PERSONAL INFORMATION Birthdate: September 19, 1980 Birthplace: Tainan, Taiwan, R.O.C.

Address: Department of Electronics Engineering National Chiao Tung University

1001 Ta-Hsueh Rd.

Hsinchu, Taiwan, 30050, R.O.C.

E-mail address: cruiser.ee91g@nctu.edu.tw

EDUCATION

B.S. [2002] Department of Electrical Engineering, National Central University.

M.A. [2004] Institute of Electronics, National Chiao-Tung University.