• 沒有找到結果。

Stress Induced Voltage Coefficient of Capacitance

Chapter 5 The Theorem of the Variation of Dielectric Constant

6.5 Stress Induced Voltage Coefficient of Capacitance

Fig. 6-10 and Fig. 6-11 show that, for thick HfO2 MIM capacitors, both stress induced leakage current and quadratic VCC changes slightly with stress time. In comparison, Fig. 6-12 and Fig. 6-13 show that, for thin HfO2 MIM capacitors, both the leakage current and quadratic VCC changes quite significantly with stress time.

The results imply that both stress induced leakage current (SILC) and the variation of quadratic VCC are correlated to each other. With the increase of stress time, carrier

mobility (µ) could be modulated to be smaller by the stress generated traps, and further leads to a higher relaxation time and a smaller capacitance variation.

77

= τ: relaxation time

µ: carrier mobility in insulator

n’: pre-factor of effective carrier concentration βs: β factor in current emission

T: temperature

t: thickness of insulator ω: frequency (=2πf) J: leakage current density

Fig. 6-1. Free carrier injection model to analyze the frequency-dependent

∆C/C.

78

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 10-8

10-7 10-6 10-5 10-4

Leakage Current Density (A/cm2 )

Voltage (V) Measurements

Schottky emission model

(a)

0 1 2 3 4 5 6

10-9 10-8 10-7 10-6 10-5 10-4

Current density (A/cm

2 )

Voltage (V)

(b)

Fig. 6-2. The measured and simulated J-V characteristic of high-κ (a) Al

doped TaO

x

and (b) HfO

2

[6.8] MIM capacitors. The

experimental data can be fitted by an emission current model.

79

Fig. 6-3. Measured and simulated normalized capacitance of high-κ (a) Al

doped TaO

x

and (b) HfO

2

[6.8] MIM capacitors as a function of

voltage. n

0

and µ are extracted by fitting the measured data.

80 Carrier conc. pre-factor (x1015 cm-3 )

qµn0(V/t)=A*T2exp(-qφb/kT) so, n0/t ~ constant

(a)

Carrier conc. pre-factor (x1014 cm-3 )

Thickness (nm) qµn0(V/t)=A*T2exp(-qφb/kT)

so, n0/t ~ constant

(b)

Fig. 6-4. Dependence of carrier concentration pre-factor of high-κ (a) Al

doped TaO

x

and (b) HfO

2

[6.8] MIM capacitors on thickness.

81 as thickness increased from 10 to 50 nm by step of 10nm

normallized capacitance decreases as thickness increased from 20 to 60 nm by step of 10 nm

(b)

Fig. 6-5. Simulated normalized capacitance as a function of voltage for

different thickness of 20, 30, 40, 50, and 60 nm of high-κ (a) Al

doped TaO

x

and (b) HfO

2

[6.8] MIM capacitors.

82

10 20 30 40 50

10-3 10-2 10-1 100

Quadratic VCC (1/V2 )

Thickness (nm)

(a)

20 30 40 50 60 70

101 102 103 104

Quadratic VCC (ppm/V2 )

Thickness (nm)

Without accounting thickness depedence of carrier concentration pre-factor

Accounting thickness depedence of carrier concentration pre-factor

(b)

Fig. 6-6. Quadratic VCC of high-κ (a) Al doped TaO

x

and (b) HfO

2

[6.8]

MIM capacitors as a function thickness.

83

10 20 30 40 50

0.0 0.5 1.0 1.5

C/C

Thickness (nm) at 5V bias

Fig. 6-7. Quadratic VCC of high-κ Al doped TaO

x

MIM capacitors as a

function thickness.

84

104 105 106

10-5 10-4

11.5 nm Al doped TaOx MIM Capacitor

Carrier mobility (cm Measured quadratic VCC at different frequency

Fitted carrier mobility based on the measured quadratic VCC

Frequency (Hz)

Carrier mobility (cm2 /vs)

(b)

Fig. 6-8. Quadratic VCC and fitted carrier mobility of high-κ (a) Al

doped TaO

x

and (b) HfO

2

[6.8] MIM capacitors as a function of

frequency.

85

-6 -4 -2 0 2 4 6

0 10000 20000 30000 40000

C/C (ppm)

Voltage (V)

Normallized capacitance decreases as the frequency increasing from 10 KHz, 100 KHz, 500 KHz, and 1MHz

Fig. 6-9. Simulated normalized capacitance as a function of voltage for

30 nm HfO

2

MIM capacitors at for different frequencies of 10K,

100K, 500K, and 1MHz [6.8].

86

0.0 0.5 1.0 1.5 2.0

10-9 10-8 10-7 10-6 10-5 10-4

10-3 Fresh

2000 s @1.5 V 4000 s @1.5 V 6000 s @1.5 V

Current density (A/cm2 )

Voltage (V)

Fig. 6-10. Stress induced leakage current of thick HfO

2

MIM capacitor

[6.8].

87

0 1000 2000 3000 4000 5000 6000 200

300 400 500 600 700 800

100 k 500 k 1 MHz

Quadratic VCC (ppm/V2 )

Stress time (s) at 1.5 V

Fig. 6-11. Stress induced quadratic VCC of thick HfO

2

MIM capacitor

[6.8].

88

0.0 0.5 1.0 1.5 2.0

10-9 10-8 10-7 10-6 10-5 10-4 10-3

Voltage (V)

Current density (A/cm2 ) Fresh 2000 s @1.5 V

4000 s @1.5 V 6000 s @1.5 V

Fig. 6-12. Stress induced leakage current of thin HfO

2

MIM capacitor

[6.8].

89

0 1000 2000 3000 4000 5000 6000 1500

1800 2100

2400 100 k

500 k 1 MHz

Stress time (s) at 1.5 V

Quadratic VCC (ppm/V2 )

Fig. 6-13. Stress induced quadratic VCC of thin HfO

2

film MIM capacitor

[6.8].

90

Chapter 7

The Analysis of High-κ MIM Capacitor with Al doped TaO

x

Dielectrics

7.1 Reviewing the Work Before

The MIM capacitors were fabricated using high-κAl doped TaOx dielectrics described previously, where record high capacitance density of 17 fF/µm2 was obtained. Fig. 7-1 shows the C-V characteristics as well as the ∆C/C values. These

data were obtained using an LCR meter from 10 KHz and 1 MHz, and calculated from measured S-parameters for the 1 to 10 GHz range, using

)

Fig. 7-2 shows that the ∆C/C decreases rapidly with increasing frequency, which is

advantageous for high frequency analog/RF circuits.

A free carrier injection model may be used to analyze the frequency dependence of ∆C/C [7.1]-[7.2]. The capacitor density is related to real part of the complex dielectric constant (ε') and thickness (t)

C εt'

= (2)

The complex dielectric constant (ε) is

91

where D is the diffusion coefficient.

The carriers in the MIM capacitor dielectric will follow an alternating signal

depending on τ , which is related to the carrier mobility (µ) and density (n) by

µqn

τ = ε (5)

with n derived from the leakage current and is a function of electric field (E)

2 )

7.2 Analysis of the Variation of Capacitance

To examine the field dependence of the carrier density, we first analyze the leakage current. Fig. 7-3 shows the measured and modeled leakage currents. The leakage current of ~9×10-7 A/cm2 at -2 V is low enough for circuit applications, since the capacitor area would be small and high density (17 fF/µm2). high. The J-V curve

can be fitted accurately using the emission current equation:

2 ) Thus the free carrier injection model should be validity in the following analysis.

In Fig. 7-4 we show the measured and calculated ∆C/C-V over the 10 KHz to 10

92

GHz frequency range. In the free carrier injection model, a nearly constant, weak

frequency dependent τ was used. This assumption is often used when analyzing

∆C/C-V data for MIM capacitors [7.1]-[7.2]. Although the agreement between measured and modeled ∆C/C-V at 10 KHz and 1 MHz is reasonable, the simulated curve deviates from the data at GHz frequencies and much over-estimates the ∆C/C-V

decrease.

To fit the measured ∆C/C-V over the whole frequency range requires that

decreases with increasing frequency. In Fig. 7-5 we show the relaxation time needed to explain the data and the curve

where f0 is a constant frequency. Using this variation of τ the simulated curves in Fig.

7-6 agree well with the ∆C/C-V data. This is due to the fact that in the free carrier

injection model, we neglected the contribution of the dipole relaxation to the capacitance. The dipole effects are known to be particular important in ferroelectrics crystals and contribute strongly to the nonlinear dielectric response [7.2] and thus to

the ∆C/C variations. The contribution of dipole effects can be observed even at infrared frequency, while the free carrier effects become negligible at frequencies

higher than about 1 MHz. The frequency dependence of τ represented by [1+(f/f0)2]-1/2 has the same form as that for a FET’s voltage gain [7.3]-[7.4]. This

93

suggests that the reduction of ∆C/C with increasing frequency can be understood

simply by similar physics - the injected carriers into the MIM capacitor cannot follow the increasing frequency and cause ∆C/C or the transistor’s gain to decrease.

94

0.000 0.25 0.50 0.75 1.00

5 10 15 20

Voltage (V) Capacitance (fF/µm2 )

101 102 103 104 105 106

C/C (ppm)

10 KHz 1 MHz 1 GHz 10 GHz

Fig. 7-1. C-V and ∆C/C-V characteristics of high-k Al doped TaO

x

MIM

capacitors at different frequencies.

95

103 104 105 106 107 108 109 1010 1011 102

103 104 105

C/C (ppm)

Frequency (Hz)

Fig. 7-2. ∆C/C of Al doped TaO

x

MIM capacitors at different frequencies.

96

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 10-8

10-7 10-6 10-5 10-4

Leakage Current Density (A/cm2 )

Voltage (V)

Measurements

Schottky emission model

Fig. 7-3. The measured and simulated J-V characteristic of high-k Al

doped TaO

x

MIM capacitors. The experimental data can be

fitted by an emission current model.

97

0.0 0.2 0.4 0.6 0.8 1.0

10-4 10-2 100 102 104 106

108 simulation measurement 10 KHz 1 MHz 1 GHz 10 GHz

∆C/C (ppm)

Voltage (V)

Fig. 7-4. Measured and simulated ∆C/C-V data assuming a nearly

constant t. Although good agreement is obtained at 10 KHz

and 1 MHz, the assumption fails to account for the 1 GHz and

10 GHz data.

98

10

4

10

5

10

6

10

7

10

8

10

9

10

10

10

-9

10

-8

10

-7

10

-6

10

-5

10

-4

10

-3

Relaxation time (sec)

Frequency (Hz)

Fig. 7-5. Modified carrier relaxation time as a function of frequency using

a [1+(f/f

0

)2]-1/2 pre-factor.

99

0.0 0.2 0.4 0.6 0.8 1.0

101 102 103 104 105

simulation measurement 10 KHz 1 MHz 1 GHz 10 GHz

C/C (ppm)

Voltage (V)

Fig. 7-6. Measured and simulated ∆C/C using the frequency dependent

relaxation time shown in Fig. 7-5.

100

Chapter 8

The Conclusion of High-κ MIM Capacitor with Al doped TaO

x

Dielectrics

8.1 Conclusion

We have achieved record high 17 fF/µm2 capacitance density, small 5%

capacitance reduction to RF frequency range, small ∆C/C ≤ 120 ppm at 1 GHz, and

low leakage current of 8.9×10-7 A/cm2 using high-κ Al doped TaOx MIM capacitors and processed at 400oC. This high capacitance density with good device integrity can greatly reduce the chip size of RF circuits and be useful for precision circuit application at high frequencies.

We also show the space charge relaxation in the dielectrics and use it to derive the free carrier injection model to describe the frequency-dependence and voltage-dependence of capacitance (∆C/C) for high-κ MIM capacitors.

Although the free carrier injection model can fit well the voltage-dependence of capacitance (∆C/C) for high-κ MIM capacitors, the nearly constant relaxation time (τ) assumption can not match the measured fast reduction of ∆C/C as frequency increases

into GHz regime. We using a modified free carrier injection model with an additional pre-factor to account for the frequency dependence of the relaxation time, good

101

agreement between the frequency dependence of the measured and modeled voltage dependence of the capacitance, ∆C/C-V, has been obtained for high-κ Al doped TaOx

MIM capacitors. This simple model should be helpful in simulations of circuits that include MIM high-κ dielectric capacitors.

102

Reference

Chapter 1

[1.1] C. H. Huang, C. H. Lai, J. C. Hsieh and J. Liu and A. Chin, “RF noise in 0.18 µm and 0.13 µm MOSFETs,” IEEE Wireless & Microwave Components Lett. pp.

464-466, 2002.

[1.2] C. H. Huang, K. T. Chan, C. Y. Chen, A. Chin, G. W. Huang, C. Tseng, V. Liang, J. K. Chen, and S. C. Chien, “The minimum noise figure and mechanism as scaling RF MOSFETs from 0.18 to 0.13 µm technology nodes,” in IEEE RF-IC Symp. Dig., pp. 373-376, 2003.

[1.3] Y. H. Wu, A. Chin, C. S. Liang, and C. C. Wu, “The performance limiting factors as RF MOSFETs scale down,” in Radio Frequency Integrated Circuits Symp., 2000, pp. 151-155.

[1.4] J. W. Lee, H. S. Song, K. M. Kim, J. M. Lee, and J. S. Roh, “The Physical and Electrical Characteristics of Ta2O5 and Physical Vapor Deposited Ru in Metal-Insulator-Metal Capacitors,” J. Electrochem. Soc., F56-F62, 2002.

[1.5] S. Y. Kang, H. J. Lim, C. S. Hwang, and H. J. Kim, “Metallorganic Chemical Vapor Deposition of Ru Films Using Cyclopentadienyl -Propylcyclopentadienylruthenium(II) and Oxygen,” J. Electrochem. Soc., pp.

C317-C323, 2002.

[1.6] C. P. Yue and S. S. Wong, “A study on substrate effects of silicon-based RF passive components,” in IEEE MTT-S International Microwave Symp. Dig., pp.

1625-1628, 1999.

[1.7] C.-M. Hung, Y.-C. Ho, I.-C. Wu, and K. O, “High-Q capacitors implemented in a CMOS process for low-power wireless applications,” in IEEE MTT-S

103

International Microwave Symp. Dig., pp. 505-511, 1998.

[1.8] Z. Chen, L. Guo, M. Yu, and Y. Zhang, “A study of MIMIM on-chip capacitor using Cu/SiO2 interconnect technology,” IEEE Microwave and Wireless Components Lett., vol. 12, no. 7, pp. 246-248, 2002.

[1.9] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz, and B. El-Kareh, “Analog characteristics of metal-insulator-metal capacitors using PECVD nitride dielectrics,” IEEE Electron Device Lett. pp. 230-232, 2001.

[1.10] J.-H. Lee, D.-H. Kim, Y.-S. Park, M.-K. Sohn, and K.-S. Seo, “DC and RF characteristics of advanced MIM capacitors for MMIC’s using ultra-thin remote-PECVD Si3N4 dielectric layers,” IEEE Microwave Guided Wave Lett., 9, pp. 345-347, Sept. 1999.

[1.11] K. Shao, S. Chu, K.-W. Chew, G.-P. Wu, C.-H. Ng, N. Tan, B. Shen, A. Yin, and Zhe-Yuan Zheng, “A scaleable metal-insulator-metal capacitors process for 0.35 to 0.18 µm analog and RFCMOS,” in Proc. 6th Int. Conf. on Solid-State and Integrated-Circuit Techno., 2001, pp 243-246.

[1.12] S. J. Lee, H. F. Luan, C. H. Lee, T. S. Jeon, W. P. Bai, Y. Senzaki, D. Roberts, and D. L. Kwong, “Performance and reliability of ultra thin CVD HfO2 gate dielectrics with dual poly-Si gate electrodes,” in Symp. on VLSI Technology, 2001, pp. 133-134.

[1.13] A. Chin, Y. H. Wu, S. B. Chen, C. C. Liao, and W. J. Chen, “High quality La2O3

and Al2O3 gate dielectrics with equivalent oxide thickness 5-10 Å,” Symp. on VLSI Tech. Dig., pp. 16-17. 2000.

[1.14] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D. L. Kwong,

“High-density MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett, pp. 306-308, 2003.

104

[1.15] M. Y. Yang, C. H. Huang; A. Chin, Chunxiang Zhu, B. J. Cho, M. F. Li, Dim-Lee Kwong, "Very high density RF MIM capacitors (17 fF/µm2) using high-κ Al2O3 doped Ta2O5 dielectrics,” IEEE Microwave and Wireless Components Lett., vol. 13, no. 10, pp. 431-433, 2003.

[1.16] S. B. Chen, J. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, “High density MIM capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett. 23, no.

4, pp. 185-187, 2002.

[1.17] S. B. Chen, J. H. Chou, A. Chin, J. C. Hsieh, and J. Liu, “RF MIM capacitors using high-κ Al2O3 and AlTiOx dielectrics,” in IEEE MTT-S International Microwave Symp. Dig., vol. 1, pp. 201-204, 2002.

[1.18] C. H. Huang, M.Y. Yang, A. Chin, C. X. Zhu, M. F. Li, and D. L. Kwong,

“High density RF MIM capacitors using high-κ AlTaOx dielectrics,” in IEEE MTT-S International Microwave Symp. Dig., vol. 1, pp. 507-510, 2003.

[1.19] A. Chin, C. C. Liao, C. H. Lu, W. J. Chen, and C. Tsai, “Device and reliability of high-κ Al2O3 gate dielectric with good mobility and low Dit,” in Symp. on VLSI Technology Dig., pp. 133-134, 1999.

[1.20] International Technology Roadmap for Semiconductors, 2001 Edition.

[1.21] International Technology Roadmap for Semiconductors, 2002 Edition.

[1.22] P. Zurcher, et al, “Integration of thin film MIM capacitors and resistors into copper metallization based RF-CMOS and Bi-CMOS technologies,” in Proc. of IEDM, pp. 153-156, 2000.

[1.23] M. Armacost, A. Augustin, P. Felsner, Y. Feng, G. Friese, J. Heidenreich, G.

Hueckel, O. Prigge, and K. Stein, “A high reliability metal insulator metal capacitor for 0.18 um copper technology,” in Proc. of IEDM, pp. 157-160, 2000.

[1.24] X. F. Yu, Chunxiang Zhu, H. Hu, Albert Chin, M. F. Li, B. J. Cho, D. L. Kwong, M. B. Yu, and P. D. Foo, “A high density MIM capacitors (13 fF/um2) using ALD

105

HfO2 dielectrics,” IEEE Electron Device Letters, Vol. 24, No.2, pp. 63-65, 2003.

[1.25] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. –L. Kwong, “HfO2 and Lanthanide-doped HfO2 MIM capacitors for RF/MIXed IC applications,” in Proc.

of Symposium on VLSI Technology, pp. 77-78, 2003.

[1.26] R. B. Van Dover, R. M. Fleming, L. F. Schneemeyer, G. B. Alers, and D. J.

Werder, “Advanced dielectrics for gate oxide, DRAM and rf capacitors,” in Proc.

of IEDM, pp. 823-826, 1998.

[1.27] S. Blonkowski, M. Regache, and A. Halimaou, “Investigation and modeling of the electrical properties of metal-oxide-metal structures formed from chemical vapor deposited Ta2O5 films,” J. Appl. Phys., vol. 90, no. 3, pp. 1501-1508, 2001.

[1.28] C. Zhu, H. Hu, X. Yu, S. J. Kim, A. Chin, M. F. Li, B. J. Cho, and D. L.

Kwong, “Voltage temperature dependence of capacitance of high-K HfO2 MIM capacitors: A unified understanding and prediction,” in International Electron Devices Meeting (IEDM) Tech. Dig., Washington DC, Dec. 8-10, 2003.

106

Chapter 2

[2.1] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “Hafnium and zirconium silicates for advanced gate dielectrics,” J. Appl. Phys., vol. 87, pp. 484-492, 2000.

[2.2] L. Manchand, W.H. Lee, J. E. Bower, F. H. Baumann, W. L. Brown, C. J. Case, R. C. Keller, Y. O. Kim, E. J. Laskowski, M. D. Morris, R. L. Opila, P. J.

Silverman, T. W. Sorsch, G. R. Weber, “Gate quality doped high K films for CMOS beyond 100 nm: 3-10 nm Al 2O3 with low leakage and low interface states,” IEDM Technical Digest, pp. 605 – 608, 1998.

[2.3] Byoung Hun Lee, Laegu Kang, Renee Nieh, Wen-Jie Qi, and Jack C. Lee,

“Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with rapid thermal annealing,” Appl. Phys. Lett. pp.

1926-1928, 2000.

[2.4] R. A. McKee, F. J. Walker, and M. F. Chisholm, “Crystalline Oxides on Silicon:

The First Five Monolayers,” Phys. Rev. Lett. 81, 3014–3017, 1998.

[2.5] S. A. Campbell, D. C. Gilmer, Xiao-Chuan Wang, Ming-Ta Hsieh, Hyeon-Seag Kim, W. L. Gladfelter, Jinhua Yan, “MOSFET transistors fabricated with high permitivity TiO2 dielectrics,” IEEE Transactions on Electron Devices, 44, pp.

104 – 109, 1997.

[2.6] T. W. Kim, M. Jung, H. J. Kim, T. H. Park, Y. S. Yoon, W. N. Kang, S. S. Yom, and H. K. Na, “Optical and electrical properties of titanium dioxide films with a high magnitude dielectric constant grown on p-Si by metalorganic chemical vapor deposition at low temperature,” Appl. Phys. Lett. pp. 1407-1409, 1994.

[2.7] V. Misra, H. Lazar, Z. Wang, Y. Wu, H. Niimi, G. Lucovsky, J. J. Wortman, and J.

R. Hauser, “Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition,” JVST B 17, p. 1836, 1999.

[2.8] C. G. Parker, G. Lucovsky, J. R. Hauser, “Ultrathin oxide-nitride gate dielectric

107

MOSFET's,” IEEE Electron Device Letters, pp. 106 – 108, 1998.

[2.9] E. P. Gusev, D. A. Buchanan, E. Cartier, A. Kumar, D. DiMaria, S. Guha, A.

Callegari, S. Zafar, P. C. Jamison, D. A. Neumayer, M. Copel, M. A. Gribelyuk, H. Okorn-Schmidt, C. D. Emic, P. Kozlowski, K. Chan, N. Bojarczuk, L. -A.

Ragnarsson and Rons, “Ultra thin high- gate stacks for advanced CMOS   devices.” in IEDM Tech. Dig., pp.20.1.1-20.1.4, 2001.

[2.10] K. J. Hubbard et al., J. Mater. Res. 11, 2757, 1996.

[2.11] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T.

Gustafsson, and R. S. Urdahl, “Intermixing at the tantalum oxide/silicon interface in gate dielectric structures,” Appl. Phys. Lett. pp. 1517-1519, 1998.

[2.12] M. Copel, M. Gribelyuk, and E. Gusev, “Structure and stability of ultrathin zirconium oxide layers on Si(001),” Appl. Phys. Lett. pp. 436-438, 2000.

[2.13] H. F. Luan, S. J. Lee, C. H. Lee, S. C. Song, Y. L. Mao, Y. Senzaki, D. Roberts, D. L. Kwong, “High quality Ta2O5 gate dielectrics with T ox.eq<10 Å,” in IEDM Tech. Dig., pp. 141 - 144, 1999.

[2.14] Byoung Hun Lee, Laegu Kang, Wen-Jie Qi, Renee Nieh, Yongjoo Jeon, Katsunori Onishi, J.C. Lee, “Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application,” in IEDM Tech.

Dig., pp. 133-136, 1999.

[2.15] Ying Shi, Xiewen Wang, Tso-Ping Ma, “Electrical properties of high-quality ultrathin nitride/oxide stack dielectrics,” IEEE Transactions on Electron Devices, 46, pp. 362-368, 1999.

[2.16] John Robertson, “Band offsets of wide-band-gap oxides and implications for future electronic devices,” JVST B 18, pp. 1785-1791, 2000.

[2.17] E.M. Vogel, K.Z. Ahmed, B. Hornung, W.K. Henson, P.K. McLarty, G.

Lucovsky, J.R. Hauser, J.J. Wortman, “Modeled tunnel currents for high

108

dielectric constant dielectrics,” IEEE Transactions on Electron Devices, 45, pp.

1350-1355, 1998.

[2.18] M. Houssa, M. Tuominen, M. Naili, V. Afanas'ev, A. Stesmans, S. Haukka, and M. M. Heyns, “Trap-assisted tunneling in high permittivity gate dielectric stacks,” J. Appl. Phys., vol. 87, pp. 8615-8620, 2000.

[2.19] P. K. Roy and I. C. Kizilyalli, “Stacked high- gate dielectric for gigascale integration of metal–oxide–semiconductor technologies,” Appl. Phys. Lett. pp.

2835-2837, 1998.

[2.20] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: Current status and materials properties considerations,” J. Appl. Phys., vol. 89, pp.

5243-5275, 2001

[2.21] J. S. Suehle, E. M. Vogel, M. D. Edelstein, C. A. Richter, N. V. Nguyen, I. Levin, D. L. Kaiser, H. Wu, J. B. Bernstein, “Challenges of high-k gate dielectrics for future MOS devices,” Plasma- and Process-Induced Damage, 2001 6th International Symposium , 13-15, pp. 90-93, 2001.

[2.22] R. B. van Dover, R. M. Fleming, L. F. Schneemeyer, G. B. Alers, D. J. Werder,

“Advanced dielectrics for gate oxide, DRAM and RF capacitors,” in IEDM Tech.

Dig., pp. 823-826, 1998.

109

Chapter 3

[3.1] S. B. Chen, J. H. Chou, A. Chin, J. C. Hsieh, and J. Liu, “High density MIM capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett. 23, no.

4, pp. 185-187, 2002.

[3.2] A. Chin, C. C. Liao, C. H. Lu, W. J. Chen, and C. Tsai, “Device and reliability of high-κ Al2O3 gate dielectric with good mobility and low Dit,” in Symp. on VLSI Technology Dig., pp. 133-134, 1999.

[3.3] S. B. Chen, J. H. Chou, A. Chin, J. C. Hsieh, and J. Liu, “RF MIM capacitors using high-κ Al2O3 and AlTiOx dielectrics,” in IEEE MTT-S International Microwave Symp. Dig., vol. 1, pp. 201-204, 2002.

[3.4] C. H. Huang, M.Y. Yang, A. Chin, C. X. Zhu, M. F. Li, and D. L. Kwong, “High density RF MIM capacitors using high-κ AlTaOx dielectrics,” in IEEE MTT-S International Microwave Symp. Dig., vol. 1, pp. 507-510, 2003.

[3.5] C. H. Chen and M. J. Deen, “A general Noise and S-parameter deembedding procedure for on-wafer high-frequency noise measurements of MOSFETs,”

IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1004-1005, 2001

[3.6] C. H. Huang, K. T. Chan, C. Y. Chen, A. Chin, G. W. Huang, C. Tseng, V. Liang, J. K. Chen, and S. C. Chien, “The minimum noise figure and mechanism as scaling RF MOSFETs from 0.18 to 0.13 µm technology nodes,” in IEEE RF-IC Symp. Dig., pp. 373-376, 2003.

[3.7] K. T. Chan, A. Chin, C. M. Kwei, D. T. Shien, and W. J. Lin, “Transmission line noise from standard and proton-implanted Si,” in IEEE MTT-S Intl. Microwave Symp., pp. 763-766, June 2001.

[3.8] Y. H. Wu, A. Chin, K. H. Shih, C. C. Wu, C. P. Liao, S. C. Pai, and C. C. Chi,

“RF loss and crosstalk on extremely high resistivity (10k-1MΩ-cm) Si fabricated by ion implantation,” in IEEE MTT-S Intl. Microwave Symp., 2000,

110 pp. 221-224.

[3.9] David M. Pozar, “Microwave engineering,” 2nd edition, John Wiley & Sons, Chap. 4, pp. 182-244.

111

Chapter 4

[4.1] C. H. Huang, M.Y. Yang, A. Chin, C. X. Zhu, M. F. Li, and D. L. Kwong, “High density RF MIM capacitors using high-κ AlTaOx dielectrics,” in IEEE MTT-S International Microwave Symp. Dig., vol. 1, pp. 507-510, 2003.

[4.2] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D. L. Kwong, IEEE Electron Device Lett. 24, 306 (2003).

[4.3] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, B. J. Cho, M. F. Li, and D. L.

Kwong, IEEE Microwave and Wireless Components Lett. 13, 431 (2003).

[4.4] C. H. Huang, M.Y. Yang, A. Chin, C. X. Zhu, M. F. Li, and D. L. Kwong, IEEE MTT-S International Microwave Symp., 2003, vol. 1, 507.

[4.5] C. H. Huang, K. T. Chan, C. Y. Chen, A. Chin, G. W. Huang, C. Tseng, V. Liang, J. K. Chen, and S. C. Chien, “The minimum noise figure and mechanism as scaling RF MOSFETs from 0.18 to 0.13 m technology nodes,” in  IEEE RF-IC Symp. Dig., pp. 373-376, 2003.

[4.6] International Technology Roadmap for Semiconductors, 2001 Edition, Process Integration, Devices, & Structure chapter, 18.

[4.7] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, IEEE Electron Device Lett. 23, 514 (2002).

[4.8] S. Y. Kang, H. J. Lim, C. S. Hwang, and H. J. Kim, J. Electrochem. Soc., 149, C317 (2002).

112

Chapter 5

[5.1] Ross MacDonald, J., Phys.Rev. 92 (1953) 4.

[5.2] Meaudre, R. et Mesnard, G., Rev. Phys. Appl. 3 (1968) 247.

[5.3] Meaudre, R. et Meaudre, M., Phys. Status Solidi (a) 37 (1976) 633.

[5.4] Beaumont, J. H. and Jacobs, P. W. M., J. Phys. Chem. Solids 28 (1966) 657.

113

Chapter 6

[6.1] P. Zurcher, et al, “Integration of thin film MIM capacitors and resistors into copper metallization based RF-CMOS and Bi-CMOS technologies,” in Proc. of IEDM, pp. 153-156, 2000.

[6.2] M. Armacost, A. Augustin, P. Felsner, Y. Feng, G. Friese, J. Heidenreich, G.

Hueckel, O. Prigge, and K. Stein, “A high reliability metal insulator metal capacitor for 0.18 um copper technology,” in Proc. of IEDM, pp. 157-160, 2000.

[6.3] XF Yu, Chunxiang Zhu, H Hu, Albert Chin, MF Li, BJ Cho, D.-L. Kwong, MB Yu, and PD Foo, “A high density MIM capacitors (13fF/um2) using ALD HfO2

dielectrics,” IEEE Electron Device Letters, Vol. 24, No. 2, pp. 63-65, 2003.

[6.4] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and Lanthanide-doped HfO2 MIM capacitors for RF/Mixed IC applications,” in Proc.

of Symposium on VLSI Technology, pp. 77-78, 2003.

[6.5] M. Y. Yang, M. W. Ma, Albert Chin, Chunxiang Zhu, M. F. Li, and Dim-Lee Kwong, “High density RF MIM capacitors using High-K La2O3 and AlTaOx dielectrics”, unpublished.

[6.6] R. B. Van Dover,R. M. Fleming, L. F. Schneemeyer, G. B. Alers, and D. J.

Werder, “Advanced dielectrics for gate oxide, DRAM and rf capacitors,” in Proc.

of IEDM, pp. 823-826, 1998.

[6.7] S. Blonkowski, M. Regache, and A. Halimaou, “Investigation and modeling of the electrical properties of metal-oxide-metal structures formed from chemical vapor deposited Ta2O5 films,” Journal of Applied Physics, Vol. 90, No. 3, pp.

1501-1508, 2001.

[6.8] C. Zhu, H. Hu, X. Yu, A. Chin, M. F. Li, and D. L. Kwong, “Voltage temperature dependence of capacitance of high-K HfO2 MIM capacitors: A unified understanding and prediction,” in IEDM Tech. Dig., 2003, pp. 379-382.

114

Chapter 7

[7.1] C. Zhu, H. Hu, X. Yu, A. Chin, M. F. Li, and D. L. Kwong, “Voltage temperature dependence of capacitance of high-K HfO2 MIM capacitors: A unified understanding and prediction,” in IEDM Tech. Dig., 2003, pp. 379-382.

[7.2] S. Blonkowski, M. Regache, and A. Halimaou, “Investigation and modeling of the electrical properties of metal-oxide-metal structures formed from chemical vapor deposited Ta2O5 films,” J. Appl. Phys., vol. 90, no. 3, pp. 1501-1508, 2001.

[7.3] Paul R. Gray, Paul J. Hurst, Stephen H. Lewis, Robert G. Meyer, Analysis and design of analog integrated circuits, 4th edition, John Wiley & Sons, Chap. 7, pp.

497-517, 2001.

[7.4] C. H. Huang, K. T. Chan, C. Y. Chen, A. Chin, G. W. Huang, C. Tseng, V. Liang, J. K. Chen, and S. C. Chien, “The minimum noise figure and mechanism as scaling RF MOSFETs from 0.18 to 0.13 µm technology nodes,” in IEEE RF-IC Symp. Dig., pp. 373-376, 2003.

115

學經歷

姓名:馬鳴汶 性別:男

出生年月日:民國 69 年 9 月 28 日 籍貫:台灣省台北市

學歷:國立交通大學電子物理學系

(87 年 9 月~91 年 7 月)

國立交通大學電子工程研究所碩士班

(91 年 9 月~93 年 7 月)

論文題目:

高介電常數射頻金屬-絕緣層-金屬電容及其電容值變動之研究

The Investigation of the Variation of the Capacitance of High-κ

RF Metal-Insulator-Metal Capacitors