• 沒有找到結果。

Chapter 4 Conclusions and Suggested Future Works

4.2 Suggestion for Future Works

There are many issues and measurement skills that we can’t discuss completely.

We list some goals for future work as follows.

1. Fast transient pulsed Id-Vg measurement is also used to evaluate charge-trapping phenomena precisely.

2. How much flow rate of CF4 gas can makes the FSG passivation layer optimixed

that will not deteriorate the device and result in the degraded performance and reliability.

3. HRTEM is essential to verify real thickness and estimate value of the dielectric constant for HfO2/SiON gate stack.

References

[1] R. H. Dennard, F. H. Gaensslen, H. Yu, V. L. Rideout, E. Basson, and A. R.

Lebanc, Design of Ion-Implanted MOSFET’s with very small Physical Dimensions, IEEE J. Solid State Circuits, SC-9, p.256, 1974

[2] G. E. Moore, Progress in Digital Intergrated Eletronics, IEEE IEDM Tech. Dig., p.11, 1975

[3] A. Hori and B. Mizuno, CMOS device technology toward 50nm region performance and drain architecture, IEDM Tech. Dig., p.641,1999.

[4] B. E. Weir, P. J. Silverman, M. A. Alam, F. Baumann, D. Monroe, A. Ghetti, J.Bude, G. L. Timp, A. Hamad, and T. M. Oberdick, Tech. Dig. IEDM, p. 437 (1999).

[5] International Technology Roadmap for Semiconductors, 2007 Update, published by the Semiconductors Industry Association.

[6] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, IEEE Elec. Dev. Lett, vol. 18, pp. 209-211, 1997.

[7] M. Cao, P. V. Voorde, M. Cox, and W. Greene, IEEE Elec. Dev. Lett., 19, 291 (1998).

[8] C-Y. Hu, D. L. Kencke, and S. Banerjee, Determining effective dielectric

thickness of MOS structures in accumulation mode, Appl. Phys. Lett., 66 (13), p.

1638 (1995).

[9] D. Park, Y. King, Q. Lu, T. King, C. Hu, A. Kalnitsky, S. Tay, and C. Cheng, Transistor characteristics with Ta2O5 gate dielectric, IEEE Elec. Dev. Lett., 19, p. 441,1998

[10] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T.

Gustafsson, and R.S. Urdahl, Appl. Phys. Lett. Vol. 73, pp. 1517-1519, 1998.

[11] J.H. Lee, Y. S. Kim, H. S. Jung, J. H. Lee, N. I. Lee, H. K. Kang, J. H. Ku, H. S.

Kang, Y.K. Kim, K. H. Cho, and K. P. Suh, VLSI Symp. Tech. Dig., pp. 84-85, 2002.

[12] E. P. Gusev, D. A. Buchanan, E. Cartier, A. Kumar, D. Dimara, S. Guha, A.

Callegari, S. Zarfar, P. C. Jamison, D. A. Neumayer, M. Copel, M. A. Gribelyuk, H. Okorn-Schmidt, C. D’Emic, P. Kozlowski, K. Chan, N. Bojarczuk, L. A.

ragnarsson, P. Ronsheim, K. Rim, R. J. Fleming, A. Mocuta, and A. Ajmera, Tech. Dig. – Int. Electron Devices Meet., pp. 451-454, 2001.

[13] A. Al-Bayati, L. W. L. Q. Xia, M. Balseanu, Z. Yuan, and M. Kawaguchi, Production processes for inducing strain in CMOS channels, Semiconductor Fabtech, 26th ed. London, U.K.: Bernard Henry, Trans-World House, 2004, pp.

84–88.

[14] V. Chan, R. Rengarajan, N. Rovedo, W. Jin, T. Hook, P. Nguyen et al., High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering, IEDM Tech. Dig., San Francisco, CA, 2003, pp.

3.8.1–3.8.4.

[15] A. Murthy, R. S. Chau, T. Ghani, and K. R. Mistry, Semiconductor Transistor Having a Stressed Channel. Santa Clara, CA: Intel, 2005.

[16] T. Ghani, S. E. Thompson, M. Bohr et al., A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strainedsilicon CMOS transistors, IEDM Tech. Dig., San Francisco, CA, 2003, pp. 11.6.1–11.6.3.

[17] H. S. Yang et al., Dual stress liner for high performance sub-45 nm gate length SOI CMOS manufacturing, IEDM Tech. Dig., 2004, pp. 1075–1077.

[18] P. R. Chidambaram, B. A. Smith, L. H. Hall, H. Bu, S. Chakravarthi, Y. Kim et al., 35% drive current improvement from recessed-SiGe drain extensions on 37 nm gate length PMOS. VLSI Symp. Tech. Dig., Honolulu, HI, 2004, pp. 48–49.

[19] C. Chien-Hao, T. L.Lee, T. H. Hou, C. L. Chen, C. C. Chen, J. W. Hsu, K. L.

Cheng, Y. H. Chiu, H. J. Tao, Y. Jin, C. H. Diaz et al., Stress memorization technique (SMT) by selectively strained-nitride capping for sub-65 nm high-performance strained-Si device application, VLSI Symp. Tech. Dig., 2004, pp. 56–57.

[20] Y. C. Liu, J. W. Pan, T. Y. Chang, P. W. Liu, B. C. Lan, C. H. Tung, C. H. Tsai et al., Single stress liner for both NMOS and PMOS current enhancement by a novel ultimate spacer process, IEDM Tech. Dig., Washington, DC, 2005.

[21] H. M. Manasevit, I. S. Gergis, and A. B. Jones, Electron mobility enhancement in epitaxial multilayer Si–Si1−xGex alloy films on (100) Si, Appl. Phys. Lett., vol. 41, no. 5, pp. 464–466, Sep. 1982.

[22] R. People, J. C. Bean, D. V. Lang, A. M. Sergent, H. L. Stormer, K. W. Wecht, R. T. Lynch, and K. Baldwin, Modulation doping in GexSi1−x/Si strained layer heterostructures, Appl. Phys. Lett., vol. 45, no. 11, pp. 1231–1233, Dec. 1984.

[23] K. Rim et al., Fabrication and mobility characteristics of ultra thin strained-Si directly on insulator (SSDOI) MOSFETs, IEDM Tech. Dig., San Francisco, CA, 2003, pp. 3.1.1–3.1.4.

[24] A. Shimizu et al., Local mechanical-stress control (LMC): A new technique for CMOS-performance enhancement, IEDM Tech. Dig., San Francisco, CA, 2001, pp. 19.4.1–19.4.4.

[25] S. Ito et al., Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design, IEDM Tech. Dig., San Francisco, CA, 2000, pp.

247–250.

[26] S. Gannavaram, N. Pesovic, and C. Ozturk, Low temperature (800 ◦C) recessed

junction selective silicon-germanium source/drain technology for sub-70 nm CMOS, IEDM Tech. Dig., 2000, pp. 437–440.

[27] S. Thompson et al., A 90 nm logic technology futuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low-κ ILD, and 1 μm2 SRAM cell, in IEDM Tech. Dig., San Francisco, CA, 2002, pp. 61–64.

[28] M. V. Fischetti et al., Six-band k • p calculation of the hole mobility in silicon inversion layers: Dependence on surface orientation, strain, and silicon thickness, J. Appl. Phys., vol. 94, no. 2, pp. 1079–1095, Jul. 2003.

[29] G. Fitzgerald, A quick primer on strained silicon, Electron. Eng. Times, 2004.

[30] S. E. Thompson, G. Sun, K. Wu, J. Lim, and T. Nishida, Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs, IEDM Tech. Dig., 2004, pp. 221–224.

[31] W.-H. Lee, A. Waite, H. Nii, H. M. Nayfeh, V. McGahay, H. Nakayama, D.

Fried, H. Chen et al., High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-κ BEOL, IEDM Tech. Dig., Washington, DC, 2005.

[32] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, Appl. Phys. Lett. 87, 183505 2005.

[33] H. H. Tseng, P. J. Tobin, E. A. Herbert, S. Kalpat, M. E. Ramon, L. Fonseca, Z.

X. Jiang, J. K. Schaeffer, R. I. Hegde, D. H. Triyoso, D. C. Gilmer, Tech. Dig. - Int. Electron Devices Meet. 2005, 713.

[34] M. Inoue, S. Tsujikawa, M. Mizutani, K. Nomura, T. Hayashi, K. Shiga, J.

Yugami, J. Tsuchimoto, Y. Ohno, and M. Yoneda, Tech. Dig. - Int. Electron Devices Meet. 2005, 425.

[35] K. I. Seo, R. Sreenivasan, P. C. McIntyre, and K. C. Saraswat, Tech. Dig. - Int.

Electron Devices Meet. 2005,429.

[36] Tanimoto. H, et al. Modeling of Electron Mobility Degradation for HfSiON MISFETs, IEEE, 2006.

[37] Scott E. Thompson, et al. Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap, IEEE, 2006.

[38] K. Uchida, T. Krishnamohan, et al, Physical mechanisms of electron mobility enhancement in uniaxial stressed MOSFETs and impact of uniaxial stress engineering in ballistic regime, IEDM Tech. Dig., San Francisco, CA, 2006.

[39] C. Y.-P. Chao and S. L. Chuang, Spin-orbit-coupling effects on the valence-band structure of strained semiconductor quantum wells, Phys. Rev. B, Condens.

Matter, vol. 46, no. 7, pp. 4110–4122, Aug. 1992.

[40] F. Stern, Self-consistent results for n-type Si inversion layers, Phys. Rev. B, Condens. Matter, vol. 5, no. 12, pp. 4891–4899, Jun. 1972.

簡歷

姓名: 李翊裳 性別: 男

年齡: 28 (民國 72 年 09 月 02 日) 籍貫: 台灣省雲林縣

學歷: 國立中正大學數學學系學士 (90.9-96.6) 國立交通大學電子工程研究所碩士 (96.7-99.9)

碩士論文題目:

氟化緩衝層應用於接觸孔蝕刻停止層局部形 變矽金氧半場效電晶體鈍化層之特性與研究

Characteristics and Investigation of

FSG buffer Layer on CESL Local strained-Si

HfO

2

/SiON Gate Stack MOSFETs

相關文件