• 沒有找到結果。

Chapter 2 Local strained-Si on HfO 2 /SiON nMOSFETs

3.4 Summary

In this work, we show the experimental electrical properties of the devices with different passivation layer, significant device performance improvement in devices with FSG buffer P.L. were found, such as the excellent subthreshold swing, increased transconductance, higher current drive, as compared to the control TEOS sample and SiN capping sample. It was observed that incorporated fluorine can repair the defects

under the local strain-Si technology, further improve the device performance.

And we also observe that serious degradation such as interface state trap, bulk trap density result in threshold voltage shift occurs in the control sample. The CF4-introduced silicon oxide as a FSG buffer layer showed that improved reliability characteristics under the CVS and HCI. It is believed that the CVS and HCI degradation are related to the electron traps in gate dielectrics. As compared to the control sample, the SiN capping sample suffer serious hot carrier injection, and The FSG buffer layer sample leading to the formation of stronger Hf-F and Si-F bonds compared to Hf-H and Si-H bonds reduced charge trap generation rate. These stronger bonds result in less interface states generation and charge trapping under CVS and HCS, which promotes better hot-carrier and CVS immunity against stress.

Fig. 3- 1 The process flow of n-MOSFETs with different

passivation layer.

Fig. 3- 2 The PECVD system used in this experiment.

Table. 3- 1 Conditions of samples with different passivation layers.

SiO2: 300sccm O2, 50sccm TEOS, 500mTorr, 40W, 300oC

NITRIDE: 20sccm SiH4, 20sccm NH3, 980sccm N2, 850mTorr, 20W, 300oC FSG: 5sccm SiH4, 90sccm N2O, 20sccm CF4, 1Torr, 11W, 300oC

3000A NITRIDE stress degree: 3.366x102 MPa Tensile stress

200A FSG+3000A NITRIDE stress degree: 3.028x102 MPa Tensile stress

Fig. 3- 3 Cross section of HfO2/SiON n-MOSFET with different passivation layer.

Fig. 3- 4 In FSG buffer P.L. devices, a large amount of F atoms

incorporating to passivating the bulk and interface trap charges

Fig. 3- 5 The experimental setup for the basic electrical

characteristics and long-term reliability test measurements.

Fig. 3- 6 Basic measurement method for (a) CVS (constant voltage stress), and (b) HCI (hot carrier injection stress).

n+

Fig. 3- 7 HCI stress and relaxtion measurement method

0 5 10 15 20 25 30

Fig. 3- 8 SIMS profiles shows that Fluorine diffuse into gate and channel

21 20 19 18 17 16 15 14 13

Fig. 3- 9 XPS shows that after sintering, fluorine enhance Hf-O binding energy under Hf4f track

680 682 684 686 688 690 F 1S of the HfO2

Intensity (arb. unit)

Binding Energy (eV)

Fig. 3- 10 XPS shows that fluorine diffuse into gate and channel, and some carbonized organic compounds.

Fig. 3- 11 Gate leakage current as a function of gate voltages of

HfO2/SiON gate stack with different passivation both under inversion and accumulation regions

-0.4 -0.2 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4

Fig. 3- 12 Drain current and transconductance as a function of gate voltages of HfO2/SiON gate stack with different passivation

0.2 0.4 0.6 0.8 1.0 1.2

Fig. 3- 13 The maximum transconductance versus channel length for all splits of HfO2/SiON gate stack n-MOSFETs.

Fig. 3- 14 Drain current versus drain voltage (ID-VD) curves of different P.L. under various normalized gate biases which 0V, 0.375V, 0.75V, 1.125V, and 1.5V,respectively.

Table. 3- 2 Electrical performance of samples with different passivation layers.

Fig. 3- 15 Enhanced percentage of electrical performance of samples with different passivation layers

0 5 10 15 20 25 30

SiN capping FSG buffer

Enh anced p er cen tag e(%)

S.S.

GMmax Id,lin Id,sat

0 200 400 600 800 1000

Fig. 3- 16 Vth shift degree under CVS stress for different samples at 25°C

Fig. 3- 17 Drain current degradation degree with different samples under CVS stress

0 1 2

Fig. 3- 18 Vth shift degree with control sample under 100oC CVS

0 1 2

Fig. 3- 19 Vth shift degree with SiN capping sample under 100oC CVS

0 1 2

Fig. 3- 20 Vth shift degree with FSG buffer sample under 100oC CVS

1.4 1.6 1.8 2.0 2.2 2.4 2.6 2.8 3.0

Fig. 3- 21 Substrate current versus gate voltage for both samples of HfO2/SiON gate stack n-MOSFETs.

0 200 400 600 800 1000

Fig. 3- 22 Vth shift degree under HCI stress for different samples at 25°C

1 10 100 1000

Fig. 3- 23 Drain current degradation degree with different samples under HCI stress

0 10 20 30 40 50 60 70 80 90 100 sample better than SiN capping sample and control sample

0 1 2 3 4 5 6 7

Fig. 3- 25 Comparison of TBD lifetime projection as a function VGS of FSG buffer layer sample larger than SiN capping sample and control sample

Fig. 3- 26 Threshold voltage shift with de-trapping bias –2 V dependence after hot carrier stress on both samples.

(a)

(b)

(c)

Fig. 3- 27 Schematic explanation of stress and relaxation process with different bias conditions for (a) Control (b) SiN capping (c)FSG buffer samples

Fig. 3- 28 The Mechanism of Fluorine Incorporation to Improve Reliability Issue

Chapter 4

Conclusions and Suggested Future Works

4.1 Conclusions

Local strained-Si HfO2/SiON nMOSFETs with appropriate fluorine distributed close to the surface were investigated. We propose an alternative approach for forming an excellent new generation devices is demonstrated.

In this thesis, the effect of fluorine on the local strained-Si HfO2 gate stack were investigated. Several important phenomena were observed and summarized as follows:

First we have investigated its basic electrical properties. The novel strained-Si high-k device with FSG passivation layer to enhance the electrical characteristics due to fluorine passivation effect. This is attributed to the reduction of the interface state and bulk trap density in HfO2/SiON gate stack. Then we investigate the reliability such as the CVS and HCI mechanisms of polysilicon gate and HfO2 gate dielectric with nitride passivation and FSG passivation. It is believed that CVS degradation are mainly related to the electron traps in high-k dielectric bulk, and HCI degradation are mainly related to the electron traps in interfacial state, resulting in threshold voltage

because of bandgap narrowing effect induced by the channel strain. The fluorine diffused from added fluorinated silicate oxide under nitride passivation promotes the CVS and HCS immunity due to the formation of the rather stronger Si-F bonds in not only the HfO2 bulk but also the interface including channel and near S/D side. In research report reveal that fluorine incorporation from the CF4 gas is effective in suppressing bulk defect, thus reducing threshold voltage instability. Stress induced Vth

shift and its relaxation characteristics under the de-trapping (negative) gate bias under HCI stress has beed studied. The reversible electrons do not generate structural damage in the dielectrics, and can be de-trapped. However, the residual electrons trapping indicate permanent damage. And we can observe that , for the locally strain passivation layer, hot carrier induced permanent damage is significant severer than reversible cold carrier trapping.

4.2 Suggestion for Future Works

There are many issues and measurement skills that we can’t discuss completely.

We list some goals for future work as follows.

1. Fast transient pulsed Id-Vg measurement is also used to evaluate charge-trapping phenomena precisely.

2. How much flow rate of CF4 gas can makes the FSG passivation layer optimixed

that will not deteriorate the device and result in the degraded performance and reliability.

3. HRTEM is essential to verify real thickness and estimate value of the dielectric constant for HfO2/SiON gate stack.

References

[1] R. H. Dennard, F. H. Gaensslen, H. Yu, V. L. Rideout, E. Basson, and A. R.

Lebanc, Design of Ion-Implanted MOSFET’s with very small Physical Dimensions, IEEE J. Solid State Circuits, SC-9, p.256, 1974

[2] G. E. Moore, Progress in Digital Intergrated Eletronics, IEEE IEDM Tech. Dig., p.11, 1975

[3] A. Hori and B. Mizuno, CMOS device technology toward 50nm region performance and drain architecture, IEDM Tech. Dig., p.641,1999.

[4] B. E. Weir, P. J. Silverman, M. A. Alam, F. Baumann, D. Monroe, A. Ghetti, J.Bude, G. L. Timp, A. Hamad, and T. M. Oberdick, Tech. Dig. IEDM, p. 437 (1999).

[5] International Technology Roadmap for Semiconductors, 2007 Update, published by the Semiconductors Industry Association.

[6] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, IEEE Elec. Dev. Lett, vol. 18, pp. 209-211, 1997.

[7] M. Cao, P. V. Voorde, M. Cox, and W. Greene, IEEE Elec. Dev. Lett., 19, 291 (1998).

[8] C-Y. Hu, D. L. Kencke, and S. Banerjee, Determining effective dielectric

thickness of MOS structures in accumulation mode, Appl. Phys. Lett., 66 (13), p.

1638 (1995).

[9] D. Park, Y. King, Q. Lu, T. King, C. Hu, A. Kalnitsky, S. Tay, and C. Cheng, Transistor characteristics with Ta2O5 gate dielectric, IEEE Elec. Dev. Lett., 19, p. 441,1998

[10] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T.

Gustafsson, and R.S. Urdahl, Appl. Phys. Lett. Vol. 73, pp. 1517-1519, 1998.

[11] J.H. Lee, Y. S. Kim, H. S. Jung, J. H. Lee, N. I. Lee, H. K. Kang, J. H. Ku, H. S.

Kang, Y.K. Kim, K. H. Cho, and K. P. Suh, VLSI Symp. Tech. Dig., pp. 84-85, 2002.

[12] E. P. Gusev, D. A. Buchanan, E. Cartier, A. Kumar, D. Dimara, S. Guha, A.

Callegari, S. Zarfar, P. C. Jamison, D. A. Neumayer, M. Copel, M. A. Gribelyuk, H. Okorn-Schmidt, C. D’Emic, P. Kozlowski, K. Chan, N. Bojarczuk, L. A.

ragnarsson, P. Ronsheim, K. Rim, R. J. Fleming, A. Mocuta, and A. Ajmera, Tech. Dig. – Int. Electron Devices Meet., pp. 451-454, 2001.

[13] A. Al-Bayati, L. W. L. Q. Xia, M. Balseanu, Z. Yuan, and M. Kawaguchi, Production processes for inducing strain in CMOS channels, Semiconductor Fabtech, 26th ed. London, U.K.: Bernard Henry, Trans-World House, 2004, pp.

84–88.

[14] V. Chan, R. Rengarajan, N. Rovedo, W. Jin, T. Hook, P. Nguyen et al., High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering, IEDM Tech. Dig., San Francisco, CA, 2003, pp.

3.8.1–3.8.4.

[15] A. Murthy, R. S. Chau, T. Ghani, and K. R. Mistry, Semiconductor Transistor Having a Stressed Channel. Santa Clara, CA: Intel, 2005.

[16] T. Ghani, S. E. Thompson, M. Bohr et al., A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strainedsilicon CMOS transistors, IEDM Tech. Dig., San Francisco, CA, 2003, pp. 11.6.1–11.6.3.

[17] H. S. Yang et al., Dual stress liner for high performance sub-45 nm gate length SOI CMOS manufacturing, IEDM Tech. Dig., 2004, pp. 1075–1077.

[18] P. R. Chidambaram, B. A. Smith, L. H. Hall, H. Bu, S. Chakravarthi, Y. Kim et al., 35% drive current improvement from recessed-SiGe drain extensions on 37 nm gate length PMOS. VLSI Symp. Tech. Dig., Honolulu, HI, 2004, pp. 48–49.

[19] C. Chien-Hao, T. L.Lee, T. H. Hou, C. L. Chen, C. C. Chen, J. W. Hsu, K. L.

Cheng, Y. H. Chiu, H. J. Tao, Y. Jin, C. H. Diaz et al., Stress memorization technique (SMT) by selectively strained-nitride capping for sub-65 nm high-performance strained-Si device application, VLSI Symp. Tech. Dig., 2004, pp. 56–57.

[20] Y. C. Liu, J. W. Pan, T. Y. Chang, P. W. Liu, B. C. Lan, C. H. Tung, C. H. Tsai et al., Single stress liner for both NMOS and PMOS current enhancement by a novel ultimate spacer process, IEDM Tech. Dig., Washington, DC, 2005.

[21] H. M. Manasevit, I. S. Gergis, and A. B. Jones, Electron mobility enhancement in epitaxial multilayer Si–Si1−xGex alloy films on (100) Si, Appl. Phys. Lett., vol. 41, no. 5, pp. 464–466, Sep. 1982.

[22] R. People, J. C. Bean, D. V. Lang, A. M. Sergent, H. L. Stormer, K. W. Wecht, R. T. Lynch, and K. Baldwin, Modulation doping in GexSi1−x/Si strained layer heterostructures, Appl. Phys. Lett., vol. 45, no. 11, pp. 1231–1233, Dec. 1984.

[23] K. Rim et al., Fabrication and mobility characteristics of ultra thin strained-Si directly on insulator (SSDOI) MOSFETs, IEDM Tech. Dig., San Francisco, CA, 2003, pp. 3.1.1–3.1.4.

[24] A. Shimizu et al., Local mechanical-stress control (LMC): A new technique for CMOS-performance enhancement, IEDM Tech. Dig., San Francisco, CA, 2001, pp. 19.4.1–19.4.4.

[25] S. Ito et al., Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design, IEDM Tech. Dig., San Francisco, CA, 2000, pp.

247–250.

[26] S. Gannavaram, N. Pesovic, and C. Ozturk, Low temperature (800 ◦C) recessed

junction selective silicon-germanium source/drain technology for sub-70 nm CMOS, IEDM Tech. Dig., 2000, pp. 437–440.

[27] S. Thompson et al., A 90 nm logic technology futuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low-κ ILD, and 1 μm2 SRAM cell, in IEDM Tech. Dig., San Francisco, CA, 2002, pp. 61–64.

[28] M. V. Fischetti et al., Six-band k • p calculation of the hole mobility in silicon inversion layers: Dependence on surface orientation, strain, and silicon thickness, J. Appl. Phys., vol. 94, no. 2, pp. 1079–1095, Jul. 2003.

[29] G. Fitzgerald, A quick primer on strained silicon, Electron. Eng. Times, 2004.

[30] S. E. Thompson, G. Sun, K. Wu, J. Lim, and T. Nishida, Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs, IEDM Tech. Dig., 2004, pp. 221–224.

[31] W.-H. Lee, A. Waite, H. Nii, H. M. Nayfeh, V. McGahay, H. Nakayama, D.

Fried, H. Chen et al., High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-κ BEOL, IEDM Tech. Dig., Washington, DC, 2005.

[32] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, Appl. Phys. Lett. 87, 183505 2005.

[33] H. H. Tseng, P. J. Tobin, E. A. Herbert, S. Kalpat, M. E. Ramon, L. Fonseca, Z.

X. Jiang, J. K. Schaeffer, R. I. Hegde, D. H. Triyoso, D. C. Gilmer, Tech. Dig. - Int. Electron Devices Meet. 2005, 713.

[34] M. Inoue, S. Tsujikawa, M. Mizutani, K. Nomura, T. Hayashi, K. Shiga, J.

Yugami, J. Tsuchimoto, Y. Ohno, and M. Yoneda, Tech. Dig. - Int. Electron Devices Meet. 2005, 425.

[35] K. I. Seo, R. Sreenivasan, P. C. McIntyre, and K. C. Saraswat, Tech. Dig. - Int.

Electron Devices Meet. 2005,429.

[36] Tanimoto. H, et al. Modeling of Electron Mobility Degradation for HfSiON MISFETs, IEEE, 2006.

[37] Scott E. Thompson, et al. Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap, IEEE, 2006.

[38] K. Uchida, T. Krishnamohan, et al, Physical mechanisms of electron mobility enhancement in uniaxial stressed MOSFETs and impact of uniaxial stress engineering in ballistic regime, IEDM Tech. Dig., San Francisco, CA, 2006.

[39] C. Y.-P. Chao and S. L. Chuang, Spin-orbit-coupling effects on the valence-band structure of strained semiconductor quantum wells, Phys. Rev. B, Condens.

Matter, vol. 46, no. 7, pp. 4110–4122, Aug. 1992.

[40] F. Stern, Self-consistent results for n-type Si inversion layers, Phys. Rev. B, Condens. Matter, vol. 5, no. 12, pp. 4891–4899, Jun. 1972.

簡歷

姓名: 李翊裳 性別: 男

年齡: 28 (民國 72 年 09 月 02 日) 籍貫: 台灣省雲林縣

學歷: 國立中正大學數學學系學士 (90.9-96.6) 國立交通大學電子工程研究所碩士 (96.7-99.9)

碩士論文題目:

氟化緩衝層應用於接觸孔蝕刻停止層局部形 變矽金氧半場效電晶體鈍化層之特性與研究

Characteristics and Investigation of

FSG buffer Layer on CESL Local strained-Si

HfO

2

/SiON Gate Stack MOSFETs

相關文件