• 沒有找到結果。

游離輻射對具有不同厚度之鉿氧化物金氧半元件影響之研究

N/A
N/A
Protected

Academic year: 2021

Share "游離輻射對具有不同厚度之鉿氧化物金氧半元件影響之研究"

Copied!
16
0
0

加載中.... (立即查看全文)

全文

(1)

國立交通大學

加速器光源科技與應用碩士學位學程

碩 士 論 文

游離輻射對具有不同厚度之鉿氧化物

金氧半元件影響之研究

A Study on the Effect of Ionizing Radiation on MOS

Devices with Various Hf-based Dielectric Thickness

研究生:孫銘鴻

指導教授:崔秉鉞 教授

指導教授:

許博淵 博士

(2)

游離輻射對具有不同厚度之鉿氧化物金氧半元件影響之研究

A Study on the Effect of Ionizing Radiation on MOS Devices

with Various Hf-based Dielectric Thickness

研 究 生:孫銘鴻 Student : Ming-Hung Sung

指導教授:崔秉鉞 Advisor : Bing-Yue Tsui

指導教授:

許博淵

Advisor :

Bor-Yuan Shew

國立交通大學

加速器光源科技與應用碩士學位學程

碩士論文

A thesis

Submitted to Graduate Program for Science and Technology of Accelerator Light Source

College of Engineering National Chiao Tung University In Partial Fulfillment of the Requirement

for the Degree of Master in

Graduate Program for Science and Technology of Accelerator Light Source

November 2012

Hsinchu, Taiwan, Republic of China

(3)

i

游離輻射對具有不同厚度之鉿氧化物金氧半元件影響之研究

研究生:孫銘鴻 指導教授:崔秉鉞、許博淵

國立交通大學加速器光源科技與應用碩士學位學程

摘要

極紫外光微影技術很有可能成為下一個世代主要的微影技術,如果未來極紫 外光微影技術被工業界所採用,在曝光過程中,極紫外光對元件所造成的輻射損 傷勢必無可避免,且必須謹慎的評估。本論文主要探討極紫外光輻射對於不同介 電層厚度的金屬閘極/高介電常數介電層金氧半電容器的影響;另外,我們也利用 先進的N型金氧半場效電晶體來驗證極紫外光輻射對電晶體的影響。 經過極紫外光照射之後,金氧半電容器的電性產生了許多明顯的變化,包括 電容-電壓曲線飄移和變形,以及遲滯現象劣化。這些電性的變化表示經過極紫 外光照射之後,介電層中、邊界以及介電層和矽基板間介面的缺陷以及被捕捉的 電荷增加。在各種不同介電層厚度的電容器中,擁有最薄介電層厚度的電容器提 供最佳的抗輻射能力,且輻射損傷程度會隨著介電層厚度增加而增加。極紫外光 對先進N型金氧半場效電晶體的影響與電容器相似,但不同的是,極紫外光對場 氧化層的影響是不可以忽略的,因為其具有足夠的厚度。經過極紫外光照射之後, 在場氧化層和矽基板間的介面能態會增加,且成為電子-電洞對的激發和復合中 心,造成電晶體在關閉下的漏電流上升。另外,本論文也利用臨界電壓對正偏壓 以及溫度的不穩定性,來探討N型電晶體經過極紫外光照射前後的可靠度。 在照射完極紫外光之後,我們也持續監控電容器和電晶體的電性變化。當電 容器以及電晶體擺放在室溫下一段時間之後,都會有自我回復現象發生。然而,

(4)

ii 自我回復並無法完全修復輻射損傷;因此,我們嘗試使用較高溫度退火來修復輻 射損傷,幾乎所有被游離輻射照射過的元件在經過高溫退火之後都回復到照射之 前的狀態。 最後,在實際應用上,半導體元件還有許多接觸不同輻射環境的可能,不僅 止在極紫外光曝光過程中。本論文除了極紫外光,同時也探討一萬電子伏特的X 射線對元件的輻射損傷影響。我們發現材料的吸收特性也是影響輻射損傷的重要 因素。

(5)

iii

A Study on the Effect of Ionizing Radiation on MOS Devices

with Various Hf-based Dielectric Thickness

Student: Ming-Hung Sung Advisor: Bing-Yue Tsui

Bor-Yuan Shew

Graduate Program for Science and Technology of Accelerator Light Source National Chiao Tung University

Abstract

Extreme ultraviolet lithography (EUVL) is a promising technology and is most likely to be the next generation lithography technology in the future. Subsequently, the radiation damage during exposing process is inevitable and should be considered carefully. In this study, EUV irradiation damage effects on the metal gate/high dielectric constant (high-k) dielectric metal-insulator-semiconductor (MIS) capacitors with different dielectric thicknesses are evaluated. Moreover, we also prepare the state-of-the-art n-channel Metal-Oxide-Semiconductor-Field-Effect-Transistor (nMOSFET) in order to demonstrate radiation effect on nMOSFET.

Before and after EUV irradiation, the electrical characteristics of MIS capacitors change distinctly. Capacitance-voltage (C-V) curve shift, C-V curve distortion, and increment of hysteresis are observed. These results indicate that oxide-traps, interface traps, and border traps increase after irradiation as well as the charges trapped by these traps. Among the capacitors with different dielectric thicknesses, the sample with the thinnest dielectric thickness offers the best radiation hardness, and the amount of radiation damage increases with dielectric thickness increasing. The observations on the state-of-the-art nMOSFET are consistent with those on the MIS capacitors. Differently, the radiation effect on field oxide is unignorable because it is much thicker than gate oxide. The increment of interface states after irradiation at the

(6)

iv

field oxide/silicon interface act as generation and recombination center, and contribute to high off-state leakage current. Besides, the reliability of nMOSFET is investigated by positive bias temperature instability (PBTI) measurement before and after irradiation.

In the meantime, we continually monitor the recovery property of MIS capacitors and nMOSFET after irradiation. The self-annealing effect is observed after storage the samples at room temperature for a long time. Nevertheless, it cannot repair the damage completely; hence, we try to anneal the samples at higher temperatures, and receive a good result. Nearly all of the samples recover to the initial state after 400~500 C annealing.

In this study, not only EUV but also 10 keV X-ray is utilized to evaluate radiation hardness of devices. We observe that the absorptivity of material plays an important role to influence the radiation damage effect.

(7)

v

誌謝

在能夠寫這篇誌謝的同時,代表碩士班生涯即將進入尾聲,兩年多來在交大 的日子,雖算不上備受煎熬,但也歷經許許多多酸甜苦辣。 這篇論文得以完成,首先最要感謝我的指導教授 崔秉鉞老師。老師對於做 研究的態度總是一絲不苟,從一開始的實驗規劃,實驗進行,到結果分析與討論 終至最後的論文撰寫,老師總是不厭其煩地給予我許多寶貴的建議。在老師的指 導下,讓我從當初的懵懂無知到現在稍微具備了些專業知識。此外,老師的熱心 公益也令我相當欽佩,跟隨老師參加了三個學期的新光計畫,至今小朋友們圍著 我嬉鬧玩耍以及他們天真無邪的笑容仍然深深烙印在我腦海中。再來也要感謝共 同指導 許博淵博士幫我們申請光束線時間以及解決在同步輻射中心做實驗時所 遇到的瓶頸。 接著感謝同步輻射中心、交大奈米中心以及 NDL 提供良好的實驗環境,使我 的實驗能夠順利完成。還有奈米電子技術實驗室的大家,嶸健、元宏、瑄美、培 宇、銘鴻、子瑜、炫滋、茂元、翰奇、崇德、哲儒、國丞、昕翰、雪君、易瑾、 立偉、致弘等學長姐、同學們以及學弟妹,在機台訓練、日常生活上所提供的協 助以及關照。特別感謝 EUV 組的學長姐李勃學、顏志展和蘇婷婷之前的努力,也 為我的實驗奠定了良好的基礎。也特別感謝同為 EUV 組的張克勤,一起在研究和 生活上互相照顧,至今回想起那段連續照光幾十小時的日子,還是記憶猶新。 另外,也要感謝已畢業的振銘、振欽以及泊韶學長時常回實驗室陪我一起運 動和提供研究上的建議。也要感謝我的室友郭柏伸、張泰源在日常生活上的照顧, 豐富了我實驗以外的生活,之後可能真的很少機會再能跟你們一起吃宵夜、聊天 了,也祝福你們的實驗都能順利。感謝我的童軍團夥伴鄧旭峰、葛顯亭、李東衡 等人總是在我實驗有壓力時願意陪我吃飯、出去走走。感謝臺師大物理系籃謝振 芳、丁一介等人在我回台北時願意與我一起打球,忘卻煩惱。感謝林曉葳在碩一

(8)

vi 時的陪伴,祝福妳明年的考試能夠順利,機會一定會留給準備好的人的。 最後也是最要感謝我最摯愛的家人們,父親 孫長祿先生以及母親 劉屏秋女 士和弟弟孫培凱,謝謝你們一路走來對我無止盡的支持與包容,家,永遠是我最 後的避風港,使我可以忘卻一切煩惱。 一段旅程的結束,同時也是另一段旅程的開始,由衷的感謝每一位曾經幫助 過我的人。在交大的日子,是那麼地刻骨銘心且永生難忘,我一定會謹記在交大 的所學和教訓,繼續邁向人生未知的旅途。 孫銘鴻 寫于新竹 101 年 10 月 21 日

(9)

vii

Contents

Abstract (Chinese)………..…. i

Abstract (English)……….……. iii

Acknowledgements………...v

Contents………...vii

Table Captions……….…ix

Figure Captions………...x

Chapter 1 Introduction ……….……….…...1

1-1 Evolution of Lithography Technology ………....……...……….1

1-2 Development of EUVL……….…..……..………...3

1-3 Radiation Damage Effect………...……….….………….……...5

1-4 High-k Dielectric and Metal Gate……...………….…..………..7

1-4-1 From SiO2 to High-k Material……….……...7

1-4-2 Choice of Metal Gate………..8

1-5 Reliability issues of High-k Dielectric……….…….………...9

1-5-1 Threshold Voltage Instability……….9

1-5-2 Positive Bias Temperature Instability………..10

1-6 Motivation and Thesis Organization……….……..………..……….11

Chapter 2 Experimental Procedure………17

2-1 Device Fabrication.………17

2-1-1 Fabrication Process of MIS Capacitor………..17

2-1-2 nMOSFET Structure……….19

2-2 Experimental Environment in NSRRC…...……….………..19

(10)

viii

2-2-2 Experimental Setup at Beamline Number 07A………22

2-3 Electrical Characterization……….……….….……..23

2-3-1 Dose Calculation………...23

2-3-2 Oxide Trap and Interface Trap Estimation………...24

2-3-3 PBTI Measurement………...25

Chapter 3 Experimental Results and Discussion………...34

3-1 Introduction………..34

3-2 Ionizing Radiation Irradiation on MIS capacitor………..34

3-2-1 Basic Electrical Characteristics………34

3-2-2 EUV Radiation Damage Effect on Different Dielectric Thickness……..36

3-2-3 Radiation Damage Effect on Different Radiation Source………42

3-2-4 Recovery Property on MIS Capacitor………...44

3-3 Ionizing Radiation Irradiation on nMOSFET………46

3-3-1 Basic Electrical Characteristics………46

3-3-2 EUV Radiation Damage Effect on nMOSFET……….47

3-3-3 Radiation Damage Effect on Different Radiation Source………49

3-3-4 Recovery Property on nMOSFET………49

3-3-5 Reliability Issue after Ionizing Radiation Irradiation………...51

Chapter 4 Conclusions and Future Works………..…..99

4-1 Conclusions……… ….…….…………..………...99

4-2 Future Works……….…….…………..………101

References……….…….……….………..…….103

(11)

ix

Table Captions

Chapter 3

Table 3-1 Fabricating condition and electrical properties of MIS capacitor are

summarized………..53 Table 3-2 Comparison of △Not, △Nit, △Nbt, and hysteresis of T15, T10 and T5

samples after EUV irradiation. (a) with 275 mJ/cm2 dose, (b) with 50

mJ/cm2 dose………..54 Table 3-3 Comparison of △Not, △Nit, △Nbt, and hysteresis of RTA400 and

RTA900 samples after EUV irradiation. (a) with 275 mJ/cm2 dose, (b) with 50 mJ/cm2 dose……….55 Table 3-4 Cmparison of △Not, △Nit, △Nbt, and hysteresis of T15 samples after EUV and 10 keV X-ray irradiation with 300 mJ/cm2 dose………..56 Table 3-5 Comparison of threshold voltage shift and sub-threshold swing degradation of nMOSFET after EUV irradiation. (a) with 1000 mJ/cm2 dose, (b) with 2000 mJ/cm2 dose……….57 Table 3-6 Comparison of threshold voltage shift and sub-threshold swing degradation of nMOSFET after 10 keV X-ray irradiation. (a) with 1000 mJ/cm2 dose, (b) with 2500 mJ/cm2 dose……….58

(12)

x

Figure Captions

Chapter 1

Fig. 1-1 Schematic structure of nanoimprint………...……….13 Fig. 1-2 Schematic structure of EBDW. (a) design diagram, (b) ray diagram…...…..13 Fig. 1-3 Schematic structure of EUVL system………...………..14 Fig. 1-4 TEM cross section of a Mo/Si multilayer...………14 Fig. 1-5 Schematic of ionizing-radiation-induced effects in MOS structures, with the

case of positive gate bias shown………....….15 Fig. 1-6 Driving current vs. gate leakage current for a Lg=70 nm nMOSFET…...….15

Fig. 1-7 Schematic of hysteresis phenomenon in MIS capacitor, (a) detrapping process with negative gate bias, (b) trapping process with positive gate bias……….…….16 Fig. 1-8 Schematics illustrating charge trapping and tunneling under PBT stress...…16

Chapter 2

Fig. 2-1 Schematic cross-sectional structures of the MIS capacitors with different HfO2 thicknesses………...……...………..27

Fig. 2-2 Illustration of synchrotron working in the NSRRC……...……….27 Fig. 2-3 (a) System module of the end-station. (b) Photo of the end-station…...……28 Fig. 2-4 Smiling shape of beamline 08A1 and its size is 0.016 cm-2………...………29 Fig. 2-5 Photo of the sample rod………...…...29

(13)

xi

Fig. 2-6 The guarding room of beamline 07A………..………...30

Fig. 2-7 Photo of the end-station in beamline number 07A…...………..30

Fig. 2-8 Photographs of two slits at beamline 08A1………...……….31

Fig. 2-9 Quantum efficiency versus photon energy plot………..………….…...31

Fig. 2-10 Band diagram at flatband voltage and midgap voltage……….32

Fig. 2-11 PBTI stress condition for nMOSFET………...……33

Chapter 3 Fig. 3-1 High-frequency C-V curves of MIS capacitor without irradiation. (a) T15 sample, (b) T10 sample, (c) T5 sample, (d) RTA400 sample, (e) RTA900 sample……….61

Fig. 3-2 Cross-sectional TEM micrographs of MIS capacitor. (a) T15 sample, (b) T10 sample, (c) T5 sample, (d) RTA400 sample, (e) RTA900 sample…………..64

Fig. 3-3 High-frequency C-V curves of MIS capacitor after EUV irradiation with 275 mJ/cm2 dose. (a) T15 sample, (b) T10 sample, (c) T5 sample………...66

Fig. 3-4 High-frequency C-V curves of MIS capacitor after EUV irradiation with 50 mJ/cm2 dose. (a) T15 sample, (b) T10 sample, (c) T5 sample………...68

Fig. 3-5 Change of flatband and midgap voltage after EUV irradiation between different dielectric thicknesses and different doses. (a) flatband voltage shift after EUV irradiation , (b) midgap voltage shift after EUV irradiation…….69

(14)

xii

Fig. 3-6 Hole trapping and removal processes in a MIS capacitors after irradiation. (a) thicker dielectric, (b) thinner dielectric………...…..……… …70 Fig. 3-7 Dose effect of T15, T10, and T5 MIS capacitors after EUV irradiation with

various doses. ………71 Fig. 3-8 High-frequency C-V curves of MIS capacitor after EUV irradiation. (a)

RTA400 sample with 275 mJ/cm2 dose, (b) RTA400 sample with 50 mJ/cm2 dose, (c) RTA900 sample with 275 mJ/cm2 dose, (d) RTA900 sample with 50 mJ/cm2 dose………73 Fig. 3-9 High-frequency C-V curves of T15 sample after EUV and 10 keV X-ray irradiation with 300 mJ/cm2 dose………...74 Fig. 3-10 Recovery behaviors of MIS capacitor after EUV irradiation. (a) T15 sample with 275 mJ/cm2 dose, (b) T15 sample with 50 mJ/cm2 dose, (c) T10 sample with 275 mJ/cm2 dose, (d) T10 sample with 50 mJ/cm2 dose, (e) T5 sample with 275 mJ/cm2 dose, (f) T5 sample with 50 mJ/cm2 dose………..77 Fig. 3-11 Change of electrical characteristics during recovery process after EUV

irradiation. (a) flatband voltage, (b) midgap voltage………..78 Fig. 3-12 Recovery behaviors of T15 sample after 10 keV X-ray irradiation with 300 mJ/cm2 dose………....79 Fig. 3-13 Change of electrical characteristics during recovery process after 10 keV X-ray irradiation. (a) flatband voltage, (b) midgap voltage………..….80 Fig. 3-14 Band diagram illustrating the combination of tunneling front model and

thermal emission front model………...………..81 Fig. 3-15 Cross-sectional TEM micrograph of the state-of-the-art nMOSFET…...…81

(15)

xiii

Fig. 3-16 Id-Vg curves of nMOSFET after EUV irradiation with (a) 1000 mJ/cm2 dose,

(b) 2000 mJ/cm2 dose………..………...82 Fig. 3-17 Two possible leakage paths in a shallow trench isolation technology…...83 Fig. 3-18 Mechanism of the increment of the off-state leakage current after EUV irradiation………..……….83 Fig. 3-19 n+-p junction current of nMOSFET at reverse bias after EUV irradiation...84 Fig. 3-20 Id-Vg curves of nMOSFET after 10 keV X-ray irradiation with (a) 1000

mJ/cm2 dose, (b) 2500 mJ/cm2 dose………..……….85 Fig. 3-21 Comparison of off-state leakage current level after EUV and 10 keV X-ray irradiation with different doses……….………..86 Fig. 3-22 Recovery behaviors of nMOSFET after EUV irradiation with (a) 1000 mJ/cm2 dose, (b) 2000 mJ/cm2 dose………..……….87 Fig. 3-23 Recovery property of electrical characteristics during recovery process after EUV irradiation. (a) threshold voltage, (b) sub-threshold swing, (c) off-state leakage current level……….………..89 Fig. 3-24 Recovery behaviors of nMOSFET after 10 keV X-ray irradiation with (a) 1000 mJ/cm2 dose, (b) 2500 mJ/cm2 dose………….……….90 Fig. 3-25 Recovery property of electrical characteristics during recovery process after 10 keV X-ray irradiation. (a) threshold voltage, (b) sub-threshold swing, (c) off-state leakage current level……….………...92 Fig. 3-26 Id-Vg curves of nMOSFET without irradiation after 104s PBT stress at (a)

(16)

xiv

Fig. 3-27 Distribution of threshold voltage shift versus stress time at room temperature (a) without irradiation, (b) after EUV irradiation, (c) after 10 keV X-ray irradiation……..……….………..95 Fig. 3-28 Distribution of threshold voltage shift versus stress time at 150℃ (a)

without irradiation, (b) after EUV irradiation, (c) after 10 keV X-ray irradiation………..….97 Fig. 3-29 Comparison of PBTI degradation of nMOSFET between different

數據

Table Captions
Figure Captions
Fig. 3-6 Hole trapping and removal processes in a MIS capacitors after irradiation. (a)  thicker dielectric, (b) thinner dielectric………………...…..…………… …70  Fig
Fig. 3-16 I d -V g  curves of nMOSFET after EUV irradiation with (a) 1000 mJ/cm 2  dose,  (b) 2000 mJ/cm 2  dose………………………………..……………………...82  Fig
+2

參考文獻

相關文件

A Complete Example with equal sample size The analysis of variance indicates whether pop- ulation means are different by comparing the variability among sample means with

You are given the wavelength and total energy of a light pulse and asked to find the number of photons it

Reading Task 6: Genre Structure and Language Features. • Now let’s look at how language features (e.g. sentence patterns) are connected to the structure

◦ 金屬介電層 (inter-metal dielectric, IMD) 是介於兩 個金屬層中間,就像兩個導電的金屬或是兩條鄰 近的金屬線之間的絕緣薄膜,並以階梯覆蓋 (step

Wang, Solving pseudomonotone variational inequalities and pseudocon- vex optimization problems using the projection neural network, IEEE Transactions on Neural Networks 17

volume suppressed mass: (TeV) 2 /M P ∼ 10 −4 eV → mm range can be experimentally tested for any number of extra dimensions - Light U(1) gauge bosons: no derivative couplings. =>

Define instead the imaginary.. potential, magnetic field, lattice…) Dirac-BdG Hamiltonian:. with small, and matrix

incapable to extract any quantities from QCD, nor to tackle the most interesting physics, namely, the spontaneously chiral symmetry breaking and the color confinement.. 