• 沒有找到結果。

Electrochemical behavior of copper chemical mechanical polishing in KIO3 slurry

N/A
N/A
Protected

Academic year: 2021

Share "Electrochemical behavior of copper chemical mechanical polishing in KIO3 slurry"

Copied!
6
0
0

加載中.... (立即查看全文)

全文

(1)

Electrochemical behavior of copper chemical mechanical polishing in KIO 3 slurry

Jyh-Wei Hsu, Shao-Yu Chiu, Ming-Shih Tsai, Bau-Tong Dai, Ming-Shiann Feng, and Han-C. Shih

Citation: Journal of Vacuum Science & Technology B 20, 608 (2002); doi: 10.1116/1.1458956

View online: http://dx.doi.org/10.1116/1.1458956

View Table of Contents: http://scitation.aip.org/content/avs/journal/jvstb/20/2?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing

Articles you may be interested in

Prevention of Cu degradation using in situ N 2 plasma treatment in a dual-damascene process J. Vac. Sci. Technol. B 23, 2084 (2005); 10.1116/1.2050671

Removal characteristics of hillock on SnO 2 thin film by chemical mechanical polishing process J. Vac. Sci. Technol. A 23, 1133 (2005); 10.1116/1.1931707

Chemical mechanical planarization characteristics of W O 3 thin film for gas sensing J. Vac. Sci. Technol. A 23, 737 (2005); 10.1116/1.1868612

Atomic force microscopy and x-ray photoelectron spectroscopy investigations of the morphology and chemistry of a Pd Cl 2 Sn Cl 2 electroless plating catalysis system adsorbed onto shape memory alloy particles

J. Appl. Phys. 96, 4945 (2004); 10.1063/1.1787625

Ultraviolet light assisted oxygenation process for submicron YBa 2 Cu 3 O 7 thin film devices J. Appl. Phys. 91, 5411 (2002); 10.1063/1.1459599

(2)

National Nano Device Laboratories, Hsinchu 300, Taiwan Ming-Shiann Feng

Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 300, Taiwan Han-C. Shiha)

Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan 共Received 2 April 2001; accepted 14 January 2002兲

The electrochemical behavior of polishing copper with colloidal silica abrasive slurry formulated with KIO3oxidizer has been investigated. For planarization of the surface morphology, the control of the surface passivation of Cu is critical during polishing. KIO3 is not only an oxidizer but also a passivator for copper in an acidic slurry by forming a CuI layer on the surface. With alkaline slurry, Cu2O is the primary corrosion product on the Cu surface. The copper corrosion rate and removal rate can be decreased dramatically with increasing slurry pH. The low corrosion resistance or high corrosion susceptibility of Cu as determined by electrochemical measurements is the basis for the high removal rates. The copper removal rate is reduced from 4600 to 650 Å/min when the slurry pH is increased from 2 to 5; and the copper removal rate levels off at pH 7 with a steady-state removal rate of 200 Å/min. © 2002 American Vacuum Society. 关DOI: 10.1116/1.1458956兴

I. INTRODUCTION

Because of its inherent superior conductivity and also its high resistance to electromigration, copper has begun to re-place aluminum and tungsten for high-performance on-chip interconnects. Since the traditional dry etch technique for the definition of Cu wiring is difficult, the metal in-laid dama-scene process in which the implementation of Cu chemical mechanical polishing共CMP兲 has developed is the main tech-nology for manufacturing Cu interconnect.

Many challenges still exist in terms of developing a fea-sible copper CMP process module. A number of slurry chem-istries have been developed for bulk copper CMP, e.g., HNO3 and H2O2 as oxidants,1,2 BTA as an inhibitor,1,3 NH4OH as a complexing agent,4,5 etc. To achieve a slurry formulation that could successfully planarize Cu damascene structure, a requirement has to be met: sufficiently high and uniform Cu removal rate. Chemical agents in the slurry mix-ture are added to enhance the removal rate of the material being polished and/or to passivate the recess regions. With these systems, the formation of a surface layer during pol-ishing is the key to obtaining good planarization in copper CMP. In an acidic condition, one of the biggest advantages is the high Cu/SiO2 selectivity due to the fast Cu dissolution rate but poor uniformity. By use of the nonoxygen donor oxidizer 共such as HNO3兲, in which Cu will follow what is predicted in the Pourbaix diagram, Cu is corroded in acidic

but passivated in alkaline media.6 Nevertheless, the copper polishing process is not successful in acidic slurry because of severe chemical etching at the recessed region during the polishing. The addition of inhibitors, such as BTA, can pas-sivate the exposed copper surface by forming a CuBTA thin film, and then increase the process reliability. The dishing of the copper is reduced,7 but the removal rate is reduced. By use of oxygen donor oxidizers, such as H2O2, IO3⫺, cuprous oxide could be formed even in the acidic water. With an alkaline slurry, a native oxide layer on the copper surface is a result, reducing the etch rate; however poor Cu/SiO2 selec-tivity is a drawback.

The mechanism to explain the excellent planarity and high removal rate in metal CMP is based on the cyclical sequence of metal passivation, abrasion of the oxide layer, and ionic dissolution from exposed metal.8,9 The electro-chemical reaction may be expected to play an important role in metal CMP. Hence, understanding the role of the oxidizer in oxide formation and material removal by ionic dissolution of copper will be helpful in improving the Cu CMP process. In this study, KIO3was selected as an oxidizer, capable of not only passivating the copper but also acquiring a high removal rate in the acidic condition. Therefore, electro-chemical measurements were used to understand the passi-vation and etching behavior of copper in the KIO3 slurry. These results are then compared with the x-ray photoelectron spectroscopy共XPS兲 results prior to the copper chemical me-chanical polishing.

a兲Author to whom correspondence should be addressed; electronic

(3)

II. EXPERIMENT

The electrochemical apparatus using a traditional three-electrode configuration for in situ CMP measurements has been shown in a previous publication.10Briefly, this appara-tus consisted of a rotor where a working electrode was at-tached. A Pt mesh net and a saturated calomel electrode were used as counter and reference electrodes, respectively. All electrodes were connected to both the EG&G lock-in ampli-fier model 5301 and potentiostat model 273 and were im-mersed in the slurry with a polishing pad 共Rodel Politex Regular E兲 located at the bottom. The working electrode was made of a copper 共99.99%兲 cylinder embedded in epoxy resin with only one side of the embedded cylindrical speci-men共1 cm2兲 exposed to the slurry.

For dc polarization measurements, the scan rate was 1 mV/s. As for electrochemical impedance spectroscopy共EIS兲 measurements, the amplitude of the perturbation was ⫾10 mV, and the frequency was varied from 0.01 Hz to 100 kHz at 6 steps/decade. In all experiments, the specimen was pol-ished with a rotational speed of 100 rpm and a downward pressure of 4 psi. The slurry used in the experiments con-tained 0.1 M KIO3, as the main oxidant, and colloidal silica abrasives共50–80 nm兲 of 3 wt % with slurry’s pH value ad-justed from 10 to 2 by adding oxalic acid.

III. RESULTS AND DISCUSSION A. Pourbaix diagram

In order to understand the electrochemical behavior of copper during the copper CMP in a 0.1 M KIO3 base slurry,

thermodynamic data related to copper species were collected and analyzed through the construction of the E – pH diagram for a Cu–IO3⫺– H2O system at 25 °C.6These data are plot-ted in Fig. 1. In constructing this diagram, the activity of soluble iodine species was assumed to be 0.1 and the activity of dissolved copper species was assumed to be 10⫺6. The solid lines and dashed lines represent the copper and iodine E – pH diagram, respectively. It can be seen in Fig. 1 that Cu2O/CuO is stable at pH 7–12. When pH⬍7 with a po-tential above ⫹0.1 V, Cu2⫹ is the most stable phase. At pH 2–7, Cu is likely to be oxidized to Cu2⫹; and IO3⫺ to be reduced to I2 or to I⫺.

The reaction mechanism of KIO3 oxidizer is as follows: I⫺⫹3H2O⫽IO3⫺⫹6H⫹⫹6e,

E0⫽1.085 V. 共1兲

Based on the Nernst equation, it is obvious that the reduction potential of KIO3decreases significantly with increasing pH values, thus decreasing the driving force for the oxidation of Cu. Hence, with acid media, it is reasonable to speculate that copper and iodide ions form a soluble complex CuI2⫺by the following mechanism:

Cu⫽Cu⫹⫹e⫺, E0⫽0.337 V, 共2兲 Cu⫹I⫺⫽CuI⫹e⫺, E0⫽0.101 V, 共3兲

CuI⫹I⫺⫽CuI2⫺. 共4兲

FIG. 1. E – pHs diagram for the Cu–I2– H2O system; line a and b representing the lower and the upper limit of the water stability, respectively共activity of soluble iodine species⫽0.1, activity of dissolved copper species⫽10⫺6兲.

609 Hsuet al.: Electrochemical behavior of copper 609

(4)

B. Electrochemical measurements

The successful CMP slurry formulation will include re-agents to increase the solubility of the copper in the slurry and to prevent dissolution of the copper in the recess regions on the surface. Figure 2 presents the potentiodynamic polar-ization curves for copper at different pHs in slurry contain-ing 0.1 M KIO3 oxidizer. The nature of the passivation layer influences the magnitude of the corrosion current density of copper. The anodic current density decreased with increasing slurry pH, suggesting a lower dissolution rate of Cu at a high slurry pH. Many researches have show that the slurry pH has a strong influence on the removal rate of copper.11,12For pH 2–7, with the anodic polarization curves in the range from 0.1 to 0.3 V, a kink is observed, implying a distinct transition from an active state to a passive state. Under HNO3 based slurry,1,13 there is no active–passive transition but a passivation in KIO3slurry will be observed even at pH 2. The high etch rate results in a high removal rate in the recess regions, which is undesirable in the CMP process. At pH 10, an abrupt change on the anodic curve, where the critical current density for passivation is almost 100 times lower than the acidic environments, shows an excellent pas-sivation behavior. As shown in Fig. 1, copper–oxides are not thermodynamically stable in acid solutions and an oxide layer formed at lower pH solutions, which is less protective than that formed at higher pH solutions. According to reac-tions共1兲–共4兲, it is suggested that the passivation layer on the Cu surface is a CuI layer at pH⬍7 slurries. Examination of the E – pH diagram allows the speculation that the Cu2O/CuO on the copper surface at pH⬎7 slurries is dense and stable and is formed according to the following electro-chemical reactions:

2Cu⫹H2O⫽Cu2O⫹2H⫹⫹2e,

E0⫽0.471⫺0.0591pH, 共5兲

Cu⫹H2O⫽CuO⫹2H⫹⫹2e,

E0⫽0.471⫺0.0591pH. 共6兲

EIS measurements were performed on copper in KIO3 slurries at pH 2 after various immersion times. This Nyquist plot is composed of real Zre and imaginary Zim impedance magnitudes for each frequency. The diffusion of either I⫺ toward the surface or the Cu⫹toward the bulk solution be-came more difficult as the immersion time increased as shown in Fig. 3. This can be due to formation of a protective surface layer. Hence, the impedance increases with increas-ing immersion time correspondincreas-ing to the growth of an oxide layer共in thickness兲.

Figure 4 presents the Nyquist plots for copper in slurries with various pHs. The left upper corner is the expansion plot of copper in KIO3 slurry at pH 2. It is seen that the imped-ance or corrosion resistimped-ance increases with the increase of slurry pH. It is known that the corrosion rate is related to the slurry pH and consequently one can expect that the removal rate is inversely proportional to the slurry pH, i.e., the higher the removal rate, the lower the slurry pH.

According to Carpio et al.,1 in a dissolution-dominated process such as the one taking place between copper and HNO3, mechanical abrasion does not enhance the chemical corrosion rate. On the contrary, it may reduce the corrosion rate due to the transport limitations. However, the impedance of copper at pH 2 with abrasion is smaller than that without abrasion as can be seen from the impedance spectra shown in Fig. 5. The remarkable impedance decrease can be due to the removal of the surface oxide layer. Thus, during the abrasion, the corrosion rate is increased. The conclusion by Carpio et al. from dc polarization curve measurements was a result of mass transport limitations, which is consequently ques-tionable. However, under the practical CMP process, diffu-sion of species is not a problem. The solution resistance RS

increased while the copper was under abrasion because the pathway between the specimen and the polishing pad for the fluid flow became smaller. At the same time, dc polarization measurements cannot eliminate the effect of solution resis-tance on the polarization of the electrode. Therefore, one may underestimate the corrosion rate determined by dc po-larization curves. Planarity of the surface results from upper regions on the copper being polished at a higher rate than the removal rate for recess regions. The corrosion rate increases FIG. 2. Potentiodynamic polarization curves of copper in 0.1 M KIO3slurry

with various pHs.

FIG. 3. Nyquist plots of copper in 0.1 M KIO3slurry with pH 2 after 2, 20, and 40 min immersion.

(5)

as a result of abrasion; therefore we would expect that during the polishing the passivated recess regions with low corro-sion rate conduced to a more planar topography.

C. Surface analysis

As described earlier, the formation of oxide plays an im-portant role in CMP. Figures 6共a兲 and 6共b兲 shows the results of XPS spectra of the copper sample after 40 min immersion with varying pH. It is not possible to distinguish Cu0 from Cu⫹on the basis of binding energy, since there is no detect-able shift in the Cu 2 p3/2 photoelectron peak between these two chemical states of the copper within experimental uncer-tainties. Hence, it is only practicable to compare I 3d and O 1s spectra. In Fig. 6共a兲, iodine peak shifts from its I0

共619.9 eV兲 position to 619.0 eV.14,15As the slurry pH in-creases to 7, the iodine peak totally disappears. Numerous studies reported that the O 1s photoelectron peak appears at a binding energy of 530.5 eV in Cu2O 共at 529.7 eV in CuO兲.16,17 Roberts et al.18 assigned the XPS peak at 532.2 eV to the chemisorbed oxygen on copper oxides. As shown in Fig. 6共b兲, the O 1s photoelectron peak can be deconvo-luted into two peaks, a major peak at 532.6 eV and a minor peak at 530.4 eV. The O 1s peak intensity becomes stronger as slurry pH increases. This strongly suggests that the Cu2O layer is formed uniformly on the copper surface. From these results, we infer that the CuI共619.0 eV兲 layer was formed on

the copper surface in the slurries of pH 2 and pH 5. As the slurry pH increases to 7, Cu2O is thermodynamically stable in alkaline solution. The results obtained from the XPS analysis further supported the suggestion that Cu had passi-vation in KIO3 slurry even in acidic conditions.

FIG. 4. Nyquist plots of copper in 0.1 M KIO3 slurry with various pHs after 40 min immersion.

FIG. 5. Nyquist plots for copper in 0.1 M KIO3slurry of pH 2 with and without abrasion.

FIG. 6. XPS spectra of copper immersed in 0.1 M KIO3slurry with various slurry pHs:共a兲 I 3d spectra and 共b兲 O 1s spectra.

611 Hsuet al.: Electrochemical behavior of copper 611

(6)

D. Cu CMP

The pH of the slurry has a strong influence on the elec-trochemical reaction and removal rate of the copper. Figure 7 shows the effect of slurry pH on the copper removal rate, which drops significantly with increasing slurry pH. For in-stance, the copper removal rate is reduced from 4600 to 650 Å/min when the slurry pH increases from 2 to 5, and levels off at pH⫽7 with a steady-state copper removal rate of 200 Å/min. As a result, the removal rate decreases with increas-ing pH due to the decrease of the drivincreas-ing force of oxidation by IO3⫺. In addition, the electrochemical measurements show low corrosion resistance and high removal rate of the Cu.

IV. CONCLUSIONS

It may be concluded that the Cu is truly passivated even if in a 0.1 M KIO3 slurry of pH 2. The electrochemical reac-tion of copper depends upon the slurry’s pH value. The cor-rosion rate and removal rate decrease with increasing slurry pH. The higher removal rate of copper in acidic slurry is presumably due to the lower protective ability of the Cu

tion of CuI.

ACKNOWLEDGMENT

The authors are grateful to the support of this work spon-sored by National Science Council of the Republic of China under Grant No. NSC 89-2216-E-007-082.

Presented at the AVS Second International Conference on Microelectronics Interfaces, Santa Clara, CA, 5–9 February 2001.

1

R. Carpio, J. Farkas, and R. Jairath, Thin Solid Films 266, 238共1995兲.

2

Z. Stavreva, D. Zeidler, M. Plotner, and K. Drescher, Appl. Surf. Sci. 91, 192共1995兲.

3Q. Luo, S. Ramarajan, and S. V. Babu, Thin Solid Films 335, 160共1998兲. 4J. M. Steigerwald, S. P. Murerka, R. J. Gutmann, and D. J. Duquette, J.

Vac. Sci. Technol. B 13, 2215共1995兲.

5J. M. Steigerwald, S. P. Murerka, R. J. Gutmann, and D. J. Duquette,

Mater. Chem. Phys. 41, 217共1995兲.

6M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions

共NACE, Houston, TX, 1974兲, p. 384.

7

Q. Luo and S. V. Babu, J. Electrochem. Soc. 147, 4639共2000兲.

8F. B. Kaufman, D. B. Thompson, R. E. Broadie, M. A. Jaso, W. L.

Gutheric, D. J. Pearson, and M. B. Small, J. Electrochem. Soc. 138, 3460

共1991兲.

9

C. K. Hu, B. Luther, F. B. Kaufman, C. Uzoh, and D. J. Pearson, Thin Solid Films 262, 84共1995兲.

10J. W. Hsu, S. Y. Chiu, I. C. Tung, Y. L. Wang, B. T. Dai, M. S. Tsai,

M. S. Feng, and H. C. Shih, Proceedings 2nd ICAMPM, Santa Clara, CA, 7–11 Feb. 2000, ME-WeP7.

11

T. C. Hu, M. S. thesis, National Chiao Tung University, 1998.

12S. Ramarajan, Y. Li, M. Hariharaputhiran, Y. S. Her, and S. V. Babu,

Electrochem. Solid-State Lett. 3, 232共2000兲.

13T. C. Hu, S. Y. Chiu, B. T. Dai, M. S. Tsai, I. C. Tung, and M. S. Feng,

Mater. Chem. Phys. 61, 169共1999兲.

14S. W. Gaarenstroom and N. Winograd, J. Chem. Phys. 67, 3500共1977兲. 15J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomber, Handbook of

X-Ray Photoelectron Spectroscopy 共Perkin-Elmer, Eden Prairie, MN,

1992兲.

16

T. H. Fleisch and G. J. Mains, Appl. Surf. Sci. 10, 51共1982兲.

17R. Siriwardane and J. A. Poston, Appl. Surf. Sci. 68, 65共1993兲. 18T. Roberts, M. Bartel, and G. Offergeld, Surf. Sci. 33, 123共1972兲.

FIG. 7. Effect of slurry pH on the removal rate of copper in 0.1 M KIO3 slurry under 5 psi downward pressure, 42 and 45 rpm of platen and carrier, respectively, and 150 mL/s slurry flow rate.

數據

Figure 4 presents the Nyquist plots for copper in slurries with various pHs. The left upper corner is the expansion plot of copper in KIO 3 slurry at pH 2

參考文獻

相關文件

The first row shows the eyespot with white inner ring, black middle ring, and yellow outer ring in Bicyclus anynana.. The second row provides the eyespot with black inner ring

• One technique for determining empirical formulas in the laboratory is combustion analysis, commonly used for compounds containing principally carbon and

You are given the wavelength and total energy of a light pulse and asked to find the number of photons it

substance) is matter that has distinct properties and a composition that does not vary from sample

◦ 金屬介電層 (inter-metal dielectric, IMD) 是介於兩 個金屬層中間,就像兩個導電的金屬或是兩條鄰 近的金屬線之間的絕緣薄膜,並以階梯覆蓋 (step

Wang, Solving pseudomonotone variational inequalities and pseudocon- vex optimization problems using the projection neural network, IEEE Transactions on Neural Networks 17

Hope theory: A member of the positive psychology family. Lopez (Eds.), Handbook of positive

volume suppressed mass: (TeV) 2 /M P ∼ 10 −4 eV → mm range can be experimentally tested for any number of extra dimensions - Light U(1) gauge bosons: no derivative couplings. =>