• 沒有找到結果。

Al 2 O 3 Inter-layers

2.6 Summary

In the past, poor gate dielectric quality and interface among oxide/semiconductor could results in frequency dispersion, hysteresis, and inferior interface property. Therefore, first of all, we demonstrate the TMA precursor of ALD can achieve more self-cleaning capability than TEMAZ at the oxide/In0.53Ga0.47As interface. Furthermore, various Al2O3 inter-layers with FGA under different PDA conditions have been conferred. According to the electrical characteristics of frequency dispersion and hysteresis, the capacitor under PDA 300 °C with FGA displays the better property than other temperatures. This result indicates that proper temperature of PDA is important due to the higher temperature might produce inferior native oxide like As2O5 or InAsO4 from XPS analysis which gives rise to higher Dit existed in midgap from the extraction of the conductance method. In addition, more Al2O3 cycles inserting among ALD-TMA/ZrO2 show the optimum electrical performance. It is observed that the interface of ZrO2/In0.53Ga0.47As is effectively passivated by trivalent oxides such as Al2O3 and As2O3 without generating a large amount of dangling bonds and demonstrate that the interface have better quality.

25

Reference (Chapter 2)

[1] Fei Xue, Han Zhao, Yen-Ting Chen, Yanzhen Wang, Fei Zhou, and Jack C. Lee, “InAs inserted InGaAs buried channel metal-oxide-semiconductor field-effect-transistors with atomic-layer-deposited gate dielectric,” Appl. Phys. Lett., vol. 98, p. 082106 ,2011.

[2] S.H. Kim, M. Yokoyama, N. Taoka1, R. Iida, S. Lee1, R. Nakane, Y. Urabe, N.

Miyata,T. Yasuda, H. Yamada, N. Fukuhara, M. Hata, M.Takenaka, S.Takagi,

“Self-Aligned Metal Source/Drain InxGa1-xAs n-Metal–Oxide–Semiconductor Field-Effect Transistors Using Ni–InGaAs Alloy,” IEDM, p. 596, 2010.

[3] Hau-Yu Lin, San-Lein Wu, Chao-Ching Cheng, Chih-Hsin Ko, Clement H. Wann, You-Ru Lin,Shoou-Jinn Chang, and Tai-Bor Wu, “Influences of surface reconstruction on the atomic-layer-deposited HfO2/Al2O3/n-InAs metal-oxide-semiconductor capacitors”

Appl. Phys. Lett., vol. 98, p. 123509 ,2011.

[4] J. Robertsona and L. Lin, “Bonding principles of passivation mechanism at III-V-oxide interfaces,” Appl. Phys. Lett., vol. 99, p. 222906 ,2011.

[5] R. Suzuki,N. Taoka, M. Yokoyama, S. Lee, S. H. Kim, T. Hoshii, T. Yasuda,W.

Jevasuwan, T. Maeda, O. Ichikawa, N. Fukuhara, M. Hata, M. Takenaka, and S. Takagi,

“1-nm-capacitance-equivalent-thickness HfO2/Al2O3/InGaAs metal-oxide-semiconductor structure with low interface trap density and low gate leakage current density,” Appl.

Phys. Lett., vol. 100, p. 132906 ,2012.

[6] P. D. Ye, “Main determinants for III–V metal-oxide-semiconductor field-effect transistors (invited),” J. Vac. Sci. Technol. A 26, 697, 2008.

[7] Luca Morassi, Student Member, IEEE, Andrea Padovani, Member, IEEE, Giovanni Verzellesi, Senior Member, IEEE, Dmitry Veksler, Injo Ok, and Gennadi Bersuker, Member, IEEE, “Interface-Trap Effects in Inversion-Type Enhancement-Mode InGaAs/ZrO2 N-Channel MOSFETs,” IEEE Trans. Electron Devices, vol. 58, p. 107,

26

2011.

[8] H.-C. Chin, X. Liu, X. Gong, and Y.-C. Yeo, “Silane and ammonia surface passivation technology for high-mobility In0.53Ga0.47As MOSFETs,” IEEE Trans. Electron Devices, vol. 57, p. 973, 2010.

[9] M. Xu, K. Xu, R. Contreras, M. Milojevic, T. Shen, O. Koybasi, Y. Q. Wu, R. M.

Wallace, and P. D. Ye, “New insight into Fermi-level unpinning on GaAs: Impact of different surface orientations,” IEDM Tech. Dig., pp. 865–868, 2009.

[10] I. Ok, H. Kim, M. Zhang, F. Zhu, S. Park, J. Yum, H. Zhao, D. Garcia,P. Majhi, N. Goel, W. Tsai, C. K. Gaspe, M. B. Santos, and J. C. Lee, “Self-aligned n-channel metal-oxide-semiconductor field effect transistor on high-indium-content In0.53Ga0.47As and InP using physical vapor deposition HfO2 and silicon interface passivation layer,”

Appl. Phys. Lett., vol. 92, no. 20, p. 202 903, 2008.

[11] Alireza Alian, Guy Brammertz, Clement Merckling, Andrea Firrincieli, Wei-E Wang, H.

C Lin, Matty Caymax, Marc Meuris, Kristin De Meyer, and Marc Heyns, “Ammonium sulfide vapor passivation of In0.53Ga0.47As and InP surfaces,” Appl. Phys. Lett., vol. 99, p.

112114 ,2011.

[12] Zuoguang Liu, Sharon Cui, Pini Shekhter, Xiao Sun, Lior Kornblum, Jie Yang, Moshe Eizenberg, K. S. Chang-Liao, and T. P. Ma, “Effect of H on interface properties of Al2O3/In0.53Ga0.47As,” Appl. Phys. Lett., vol. 99, p. 222104 ,2011.

[13] C. L. Hinkle, A. M. Sonnet, E. M. Vogel, S. McDonnell, G. J. Hughes, M. Milojevic, B.

Lee, F. S. Aguirre-Tostado, K. J. Choi, H. C. Kim, J. Kim, and R. M. Wallace, “GaAs interfacial self-cleaning by atomic layer deposition,” Appl. Phys. Lett., vol. 92, p. 071901, 2008.

[14] M. M. Frank, G. D. Wilk, D. Starodub, T. Guatafsson, E. Garfunkel, Y. J. Chabal, J.

Grazul, and D. A. Muller, “HfO2 and Al2O3 gate dielectrics on GaAs grown by atomic layer deposition,” Appl. Phys. Lett., vol. 86, p. 152904, 2005.

27

[15] M. L. Huang, Y. C. Chang, C. H. Chang, Y. J. Lee, P. Chang, J. Kwo, T. B. Wu, and M.

Hong, “Surface passivation of III-V compound semiconductors using atomic-layer-deposition-grown Al2O3,” Appl. Phys. Lett., vol. 87, p. 252104, 2005.

[16] C. L. Hinkle, E. M. Vogel, P. D. Ye, and R. M. Wallace,” Interfacial chemistry of oxides on InxGa(1−x)As and implications for MOSFET applications” Curr. Opin. Solid State Mater. Sci. 15, 188–207, 2011.

[17] M. Kobayashi, P. T. Chen, Y. Sun, N. Goel, P. Majhi, M. Garner, W. Tsai, P. Pianetta, and Y. Nishi, “Synchrotron radiation photoemission spectroscopic study of band offsets and interface self-cleaning by atomic layer deposited HfO2 on In0.53Ga0.47As and In0.52Al0.48As,” Appl. Phys. Lett., vol. 93, p. 182103, 2008.

[18] P. D. Ye, G. D. Wilk, B. Yang, J. Kwo, H.-J. L. Gossmann, M. Hong, K. K. Ng, and J.

Bude, “Depletion-mode InGaAs metal-oxide-semiconductor field-effect transistor with oxide gate dielectric grown by atomic-layer deposition” Appl. Phys. Lett., vol. 84, p. 434, 2005.

[19] N. Goel, P. Majhi, C. O. Chui, W. Tsai, D. Choi, J. S. Harris, “InGaAs metal-oxide-semiconductor capacitors with HfO2 gate dielectric grown by atomic-layer deposition,” Appl. Phys. Lett. vol. 89, p.163517, 2006.

[20] Y Zhou, N Kojima and K Sasaki, Growth and dielectric properties of tetragonal ZrO2 films by limited reaction sputtering,” Appl. Phys. Lett. vol. 41, p. 175414, 2008.

[21] S. K. Dey, C.-G. Wang, D. Tang, M. J. Kim, R. W. Carpenter, C. Werkhoven, and E.

Shero, “Atomic layer chemical vapor deposition of ZrO2-based dielectric films:

Nanostructure and nanochemistry,” J. Appl. Phys., vol. 93, p. 4144, 2003.

[22] C.H. Lee, H.F. Luan, W.P. Bai, S.J. Lee, T.S. Jeon, Y. Senzaki, D. Roberts,D.L. Kwong,

“MOS Characteristics of Ultra Thin Rapid Thermal CVD ZrO2 and Zr Silicate Gate Dielectrics,” IEDM Tech. Dig., pp. 27-30, 2000.

[23] Wenke Weinreich, Tina Tauchnitz, Patrick Polakowski, Maximilian Drescher, Stefan

28

Riedel, Jonas Sundqvist, Konrad Seidel, Mahdi Shirazi, Simon D. Elliott, Susanne Ohsiek, Elke Erben, and Bernhard Trui, “TEMAZ/O3 atomic layer deposition process with doubled growth rate and optimized interface properties in metal–insulator–metal capacitors,” J. Vac. Sci. Technol. A 31 (1), 01A123 - 01A123-11, 2013.

[24] J. C. Garcia, L. M. R. Scolfaro, A. T. Lino, V. N. Freire, G. A. Farias, C. C. Silva, H. W.

Leite Alves, S. C. P. Rodrigues, and E. F. da Silva, Jr., “Structural, electronic, and optical properties of ZrO2 from ab initio calculations,” J. Appl. Phys., vol. 100, p.

104103, 2006.

[25] Maciej Gutowski, John E. Jaffe, Chun-Li Liu, Matt Stoker, Rama I. Hegde, Raghaw S.

Rai, and Philip J. Tobin, “Thermodynamic stability of high-K dielectric metal oxides ZrO2 and HfO2 in contact with Si and SiO2,” Applied Physics Letters, vol. 80, p. 1897, 2002.

[26] Wen-Jie Qi, Renee Nieh, Byoung Hun Lee, Laegu Kang, Yongjoo Jeon, and Jack C. Lee,

“Electrical and reliability characteristics of ZrO2 deposited directly on Si for gate dielectric application,” Applied Physics Letters, vol. 77, p. 3269, 2000.

[27] Yohan Seo, Sangyouk Lee, Ilsin An, Chulgi Song, and Heejun Jeong, “Conduction mechanism of leakage current due to the traps in ZrO2 thin film,” Semicond. Sci.

Technol., vol.24, p.115016, 2009.

[28] N. Goel, D. Heh, S. Koveshnikov, I. Ok, S. Oktyabrsky, V. Tokranov, R.

Kambhampati, M.Yakimov, Y. Sun, P. Pianetta, C.K. Gaspe, M.B. Santos, J. Lee, S.

Datta, P. Majhi, and W. Tsai, “Addressing The Gate Stack Challenge For High Mobility InxGa1-xAs Channels For NFETs” , IEDM Tech. Dig., pp. 1-4, 2008.

[29] S. Monaghan, A. O’Mahony, K. Cherkaoui, É. O’Connor, I. M. Povey, M. G. Nolan,D.

O’Connell, M. E. Pemble, and P. K. Hurley, “Electrical analysis of three-stage passivated In0.53Ga0.47As capacitors with varying HfO2 thicknesses and incorporating an Al2O3 interface control layer,” J. Vac. Sci. Technol. B 29, 807 ,2011.

29

[30] B. Brennan, M. Milojevic, H. C. Kim, P. K. Hurley, J. Kim, G. Hughes, and R. M.

Wallace, “Half-Cycle Atomic Layer Deposition Reaction Study Using O3 and H2O Oxidation of Al2O3 on In0.53Ga0.47As,” Electrochem. Solid-State Lett. Vol. 12, p.

H205-207, 2009.

[31] V. Djara, K. Cherkaoui, M. Schmidt, S. Monaghan, E. O’Connor, I. M. Povey, D.

O’Connell, M. E. Pemble, and P. K. Hurley, “Impact of Forming Gas Annealing on the Performance of Surface-Channel In0.53Ga0.47As MOSFETs With an ALD Al2O3 Gate Dielectric,” IEEE Trans. on Electron Devices, vol. 59, p. 1084, 2012.

[32] Jenny Hu and H.-S. Philip Wong, “Effect of annealing ambient and temperature on the electrical characteristics of atomic layer deposition Al2O3/In0.53Ga0.47As metal-oxide-semiconductor capacitors and MOSFETs,” J. Appl. Phys., vol. 111, p.

044105, 2012.

[33] M. Kobayashi, P. T. Chen, Y. Sun, N. Goel, P. Majhi, M. Garner, W. Tsai, P. Pianetta, and Y. Nishi, “Synchrotron radiation photoemission spectroscopic study of band offsets and interface self-cleaning by atomic layer deposited HfO2 on In0.53Ga0.47As and In0.52Al0.48As,” Applied Physics Letters, vol. 93, p. 182103, 2008.

[34] H. Zhao, J. H. Yum, Y. T. Chen, and J. C. Lee, ”In0.53Ga0.47As n-metal-oxide-semiconductor field effect transistors with atomic layer deposited Al2O3, HfO2, and LaAlO3 gate dielectrics,” J. Vac. Sci. Technol. B 27,2024, 2009.

[35] Kuniharu Takei, Rehan Kapadia, Hui Fang, E. Plis, Sanjay Krishna, and Ali Javey,

“High quality interfaces of InAs-on-insulator field-effect transistors with ZrO2 gate dielectrics,” Appl. Phys. Lett. vol. 102, p. 153513, 2013.

[36] Roman Engel-Herbert, Yoontae Hwang, and Susanne Stemmer, “Comparison of Methods to Quantify Interface Trap Densities at Dielectric/III-V Semiconductor Interfaces,” J.

Appl. Phys., vol. 108, p. 124101, 2010.

30

[37] E.H. Nicollian and A. Goetzberger, “The Si-SiO2 Interface-Electrical Properties as Determined by the Metal-Insulator-Silicon Conductance Technique,” Bell Syst. Tech. J., vol 46, pp. 1055–1133, 1967.

[38] Alessandro Molle, Guy Brammertz, Luca Lamagna, Marco Fanciulli, Marc Meuris, and Sabina Spiga, “Ge-based interface passivation for atomic layer deposited La-doped ZrO2

on III-V compound (GaAs, In0.15Ga0.85As) substrates,” Appl. Phys. Lett. vol. 95, p.

023507, 2009.

[39] L. Lamagna, A. Molle, C. Wiemer, S. Spiga, C. Grazianetti, G. Congedo, and M.

Fanciulli, “Atomic Layer Deposition of Al-Doped ZrO2 Thin Films as Gate Dielectric for In0.53Ga0.47As,” J. Electrochem. Soc., vol. 159, p. H220, 2008.

[40] Alessandro Molle, Luca Lamagna, Claudia Wiemer, Sabina Spiga, Marco Fanciulli, Clement Merckling, Guy Brammertz, and Matty Caymax, “Improved Performance of In0.53Ga0.47As-Based Metal–Oxide–Semiconductor Capacitors with Al:ZrO2 Gate Dielectric Grown by Atomic Layer Deposition,” Appl. Phys. Express. vol. 4, p. 094103, 2011.

[41] Luca Morassi, Andrea Padovani, Giovanni Verzellesi, Dmitry Veksler, Injo Ok, and Gennadi Bersuker, “Interface-Trap Effects in Inversion-Type Enhancement-Mode InGaAs/ZrO2 N-Channel MOSFETs,” IEEE Trans. on Electron Devices, vol. 58, no. 1, 2011.

[42] Rena Suzuki, Noriyuki Taoka, Masafumi Yokoyama, Sang-Hyeon Kim, Takuya Hoshii, Tatsuro Maeda, Tetsuji Yasuda, Osamu Ichikawa, Noboru Fukuhara, Masahiko Hata, Mitsuru Takenaka, and Shinichi Takagi, ” Impact of atomic layer deposition temperature on HfO2/InGaAs metal-oxide-semiconductor interface properties,” J. Appl. Phys., vol.

112, p. 084103, 2012.

31

Fig. 2.1 Capacitive equivalent thickness (CET) vs. physical thickness as measured in a MOSCAPs for various ALD dielectrics on In0.53Ga0.47As.

Table 2.1 Band offsets of various dielectrics with respect to In0.53Ga0.47As as measured by synchrotron radiation photoelectron spectroscopy.

Gate dielectric(ALD) △E

v

(eV) △E

c

(eV) E

g

(eV)

ZrO

2

2.63±0.1 2.13±0.3 5.5±0.2

HfO

2

3.04±0.1 1.8±0.3 5.6±0.2

Al

2

O

3

4.09±0.1 2.8±0.3 7.65±0.2

32

p-In

0.53

Ga

0.47

As (100) buffer layer p-InP (100)

p-In

0.53

Ga

0.47

As (100) channel layer TEMAZ or TMA/ZrO

2

Ti/Pt

Ti/Pt

Surface pretreatment

- Acetone (5min) - Isopropanol (5min)

- HCl : H

2

O = 1:10 (2min)

Deposited by ALD at 250 ˚C

- TEMAZ or TMA 10cycles pretreatment - ZrO

2

80 cycles.

Annealing condition

- PDA (300.400.500˚C/120s)

Gate electrode patterning and formation(Ti/Pt)

Backside-contact deposition (Ti/Pt)

FGA 10% H

2

+90% N

2

(250˚C/30min.)

Fig. 2.2 Process flow of MOSCAPs with different surface pretreatments.

Fig. 2.3 MOSCAPs structure with ALD-TEMAZ or TMA/ZrO2/In0.53Ga0.47As.

33

p-In

0.53

Ga

0.47

As (100) buffer layer p-InP (100)

p-In

0.53

Ga

0.47

As (100) channel layer ZrO

2

Ti/Pt

Ti/Pt

TMA/Al

2

O

3

1.5.10cycles

Surface pretreatment

- Acetone (5min) - Isopropanol (5min)

- HCl : H

2

O = 1:10 (2min)

Deposited by ALD at 250 ˚C

- TMA 10cycles - Al

2

O

3

1.5.10 cycles - ZrO

2

80 cycles.

Annealing condition

- as-deposited or PDA (300.400.500 ˚C/120s)

Gate electrode patterning and formation(Ti/Pt)

Backside-contact deposition (Ti/Pt)

FGA 10% H

2

+90% N

2

(250˚C/30min.)

Fig. 2.4 Process flow of the MOSCAPs with several cycles of Al2O3.

Fig. 2.5 MOSCAPs structure with ALD-TMA/Al2O3/ZrO2/In0.53Ga0.47As.

34

1 0 n m

Fig. 2.6 TEM image of the as-deposited ALD-TMA/Al2O3 10 cycle/ZrO2 on In0.53Ga0.47As with FGA.

35

36 after FGA under different PDA conditions in N2 ambience for 120 s (a) 300 °C (b) 400 °C (c) 500 °C

37

38

Pt/Ti/TMA+ZrO2/In0.53Ga0.47As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) 300 °C (b) 400

°C (c) 500 °C

39

(a) (b)

(c) (d)

Fig. 2.11 Multi-frequency C-V curves for p-type Pt/Ti/TMA+Al2O3 1 cycle+ZrO2/In0.53Ga

0.47-As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA

40 Pt/Ti/TMA+Al2O3 1 cycle+ZrO2/In0.53Ga0.47As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

41

(a) (b)

(c) (d)

Fig. 2.13 Multi-frequency C-V curves for p-type Pt/Ti/TMA+Al2O3 5 cycle+ZrO2/In0.53Ga

0.47-As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA

42 Pt/Ti/TMA+Al2O3 5 cycle+ZrO2/In0.53Ga0.47As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

43

(a) (b)

(c) (d)

Fig. 2.15 Multi-frequency C-V curves for p-type Pt/Ti/TMA+Al2O3 10 cycle+ZrO2/In0.53

Ga-0.47As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) as deposited (b) 300 °C (c) 400 °C (d) 500

44 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

45

(a)

(b) (c)

Fig. 2.17 Multi-frequency C-V curves for various Al2O3 cycles measured from 1 MHz to 100 Hz after FGA under as-deposited (a) Al2O3 1 cycle (b) Al2O3 5 cycle (c) Al2O3 10

46

(a) (b)

(c) (d)

Fig. 2.18 Multi-frequency C-V curves for various Al2O3 cycles measured from 1 MHz to 100 Hz after FGA under PDA 300 °C /120 s (a) TMA pretreatment (Al2O3 0 cycle)

47

(a) (b)

(c) (d)

Fig. 2.19 Multi-frequency C-V curves for various Al2O3 cycles measured from 1 MHz to 100 Hz after FGA under PDA 400 °C /120 s (a) TMA pretreatment (Al2O3 0 cycle) (b)

48

(a) (b)

(c) (d)

Fig. 2.20 Multi-frequency C-V curves for various Al2O3 cycles measured from 1 MHz to 100 Hz after FGA under PDA 500 °C /120 s (a) TMA pretreatment(Al2O3 0 cycle) (b)

49

Table 2.2 Overview of frequency dispersion △C of various pretreatments and several Al2O3 cycles with FGA under various PDA conditions at Vg = -2 V.

Table 2.3 Overview of hysteresis (V) of various pretreatments and several Al2O3 cycles with FGA under various PDA conditions.

△C (@V

g

= -2 V)

(w/FGA)

as-deposited PDA 300 °C /120 s

PDA 400 °C /120 s

PDA 500 °C /120 s

TEMAZ 99.4% 160.9% 129.5%

TMA 42.7% 84.3% 75.9%

Al

2

O

3

1cyc. 43.4% 41.7% 79.4% 55.7%

Al

2

O

3

5cyc. 40.9% 37.8% 65.3% 46.7%

Al

2

O

3

10cyc. 25.0% 24.4% 34.8% 33.6%

Hysteresis (V)

(w/FGA)

as-deposited PDA 300 °C /120 s

PDA 400 °C /120 s

PDA 500 °C /120 s

TEMAZ 0.96 0.76 0.61

TMA 0.59 0.74 0.66

Al

2

O

3

1cyc. 0.49 0.44 0.70 0.54

Al

2

O

3

5cyc. 0.48 0.43 0.62 0.46

Al

2

O

3

10cyc. 0.31 0.29 0.43 0.37

50

Fig. 2.21 Energy band diagram of a n-type MOSCAPs in depletion region is shown in (a). A dc gate bias Vg with a small ac signal of frequency f are applied, causing a band bending ψs in the semiconductor and interface trap response with time constant  . (b) Equivalent circuit of MOSCAPs in depletion region. (c) Measured capacitance Cm and conductance Gm.

51

0.0 0.2 0.4 0.6

101 103 105 107 109

Characteristic Frequency (Hz)

Energy in Bandgap (eV)

300K 250K 200K 150K 100K 77K

h+ e

-0.0 0.2 0.4 0.6

101 103 105 107 109

=1E-15cm2

=1E-16cm2

=1E-17cm2

T=300K

Characteristic Frequency (Hz)

Energy in Bandgap (eV)

Fig. 2.22 Behavior of the interface trap time constant at room temperature as a function of capture cross section determines the part of interface traps in the band gap observable in the MOS admittance characteristics.

Fig. 2.23 The trapped charge characteristics for In0.53Ga0.47As under different temperature and corresponding measurement window from 1 MHz to 100 Hz C-V measurement frequency.

52

53

(a) (b)

(c) (d)

Fig. 2.25 Parallel conductance curves of for p-type Pt/Ti/TMA+Al2O3 1 cycle+ZrO2/In0.53

Ga-0.47As MOSCAPs after FGA under different PDA conditions from Vg= -0.35 to +0.1 volts (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

54

55

(a) (b)

(c) (d)

Fig. 2.27 Parallel conductance curves of for p-type Pt/Ti/TMA+Al2O3 5 cycle+ZrO2/In0.53

Ga-0.47As MOSCAPs after FGA under different PDA conditions from Vg= -0.5 to +0.2 volts (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

56 p-type Pt/Ti/TMA+Al2O3 10 cycle+ZrO2/In0.53Ga0.47As MOSCAPs measured from 1 MHz to 100 Hz after FGA under different PDA conditions in N2 ambience for 120 s (a) as deposited (b) 300 °C (c) 400 °C (d) 500 °C.

57

58

59

(a) (b)

(c) (d)

Fig. 2.31 Comparison of Dit profiles of ALD-TMA/ZrO2/In0.53Ga0.47As MOSCAPs with various Al2O3 inter-layers under different PDA conditions (a) as deposited (b) 300

°C (c) 400 °C (d) 500 °C.

60 Gate Voltage(volt)

Log[Frequency(Hz)]

-2 -1 0 1 2

2 3 4 5 6

1 2 3 4 x 105 13

(a)

(b)

Fig. 2.32(a)(b) The map of normalized parallel conductance, (Gp/ω)/Aq (eV-1cm-2) vs. Vg (volt) and the curves for p-type Pt/Ti/TMA+ZrO2/In0.53Ga0.47As MOSCAPs from Vg= -0.25 to +0.1 volts under PDA 300 °C/120 s, w/FGA

10

2

10

3

10

4

10

5

10

6

0

2 4 6 8 10

G

p

/Aq

10

12

eV

-1

cm

-2

)

Frequency (Hz)

Vg= +0.25V

Vg= -0.1V PDA 300

C/120s w/FGA TMA 10cycle/ZrO

2

61

-2 -1 0 1 2

0.2 0.4 0.6

0.8 PDA 300C/120s,w/FGA

Capacitance,C(F/cm2 )

Gate Voltage, Vg(volt)

TMA 10cycle/Al2O3 T=300K

Gate Voltage,Vg(volt)

Log[Frequency(Hz)]

-2 -1 0 1 2

2 3 4 5 6

1 2 3 4 x 105 13

(a)

(b) (c)

Fig. 2.33 (a)(b)(c) The capacitance curve, the map of normalized parallel conductance, and (Gp/ω)/Aq (eV-1cm-2) vs. Vg (volt) and the [(Gp/ω)/Aq] curves for p-type Pt/Ti/TMA+Al2O3/In0.53Ga0.47As MOSCAPs from Vg= -0.05 to +0.6 volts under PDA 300 °C/120 s, w/FGA

102 103 104 105 106

0 2 4 6 8 10

TMA 10cycle/Al2O3 Vg= +0.6VVg= -0.05V PDA 300C/120s w/FGA

G p/Aq1012 eV-1 cm-2 )

Frequency (Hz)

62

Fig. 2.34 Comparison of Dit profiles of In0.53Ga0.47As MOSCAPs with various Al2O3 cycle inter-layer and ALD-Al2O3/In0.53Ga0.47As MOSCAPs under PDA 300 °C/120 s, w/FGA.

Table 2.4 Overview of Dit at trap energy Et =0.377eV of ALD-TMA/ZrO2/In0.53Ga0.47As under different thermal conditions and Al2O3/In0.53Ga0.47As MOSCAPs at PDA 300

C/120 s, w/FGA.

D

it

(10

12

eV

-1

cm

-2

) 0cyc 1cyc 5cyc 10cyc Al

2

O

3

As-deposited+FGA 9.26 8.70 5.40

PDA 300

C+FGA 9.37 8.57 7.83 4.99 3.75

PDA 400

C+FGA 16.87 14.0 7.71

PDA 500

C+FGA 17.21 16.49 14.71

0.0 0.1 0.2 0.3 0.4 0.5

0 3 6 9 12 E

V

0cyc 1cyc 5cyc 10cyc Al2O

3

PDA 300C/120s w/FGA

E

i

D

it(

10

12

eV

-1

cm

-2 )

E-E

v

(eV)

T=300K

63

(a)

(b)

1120 1118 1116 1114

Ga 2p3/2

Al

2

O

3

1cycle

PDA 500C,w/FGA PDA 400C,w/FGA PDA 300C,w/FGA as-deposited,w/FGA

Ga-As Ga2O

Ga2O

3

In tensity (a. u. )

Binding Energy (eV)

447 446 445 444 443 442

In 3d5/2

Al

2

O

3

1cycle

In2O3

InAsO4

In-As

In tensity (a. u. )

Binding Energy (eV)

PDA 500C,w/FGA PDA 400C,w/FGA PDA 300C,w/FGA as-deposited,w/FGA

64

(c)

Fig. 2.35 X-ray photoelectron spectroscopy for p-type Pt/Ti/TMA+Al2O3 1 cycle+ZrO2/In

0.53-Ga0.47As MOSCAPs after FGA under various PDA conditions (a) Ga 2p3/2 (b) In 3d5/2 (c) As 2p3/2.

1328 1326 1324 1322

As 2p

3/2

As-Ga

As2+

As2O3

Al

2

O

3

1cycle

as-deposited,w/FGA

As2O5 PDA 300C,w/FGA

PDA 400C,w/FGA

PDA 500C,w/FGA

In tensity (a. u. )

Binding Energy (eV)

65

(a)

(b)

1120 1118 1116 1114

Ga 2p3/2

Ga2O Ga-As

PDA 300C/120s,w/FGA

In tensity (a. u. )

Al2O3 10 cyc Al2O3 5 cyc Al2O3 1 cyc

TMA TEMAZ

Ga2O3

Binding Energy (eV)

447 446 445 444 443 442

In2O

3

In-As

PDA 300C/120s,w/FGA

InAsO

4

In 3d5/2

In tensity (a. u. )

Binding Energy (eV)

Al2O3 10 cyc Al2O3 5 cyc Al2O3 1 cyc

TMA TEMAZ

66

(c)

Fig. 2.36 X-ray photoelectron spectroscopy of various pretreatment and several Al2O3 cycles after FGA under PDA 300 °C /120 s (a) Ga 2p3/2 (b) In 3d5/2 (c) As 2p3/2

1328 1326 1324 1322 PDA 300C/120s,w/FGA

TEMAZ

TMA

Al

2

O

3

1 cyc

Al

2

O

3

5 cyc

In tensity (a. u. )

As 2p

3/2 As-Ga

As2+

As2O

3

As2O5

Al

2

O

3

10 cyc

Binding Energy (eV)

67

Table 2.5 Ratio of the fitted area from the As 2p3/2 and In 3d5/2 spectra for p-type Pt/Ti/TMA+Al2O3 1 cycle+ZrO2/In0.53Ga0.47As MOSCAPs after FGA under various PDA conditions.

Table 2.6 Ratio of the fitted area from the As 2p3/2 and In 3d5/2 spectra at various pretreatment and several Al2O3 cycles after FGA under PDA 300 °C/120 s.

Al

2

O

3

1cycle As

2+

/As

2

O

5

As

2

O

3

/As

2

O

5

In

2

O

3

/InAsO

4

As-deposited+FGA 0.393 1.145 0.458

PDA 300

C /120 s+FGA 0.417 1.156 0.488

PDA 400

C /120 s+FGA 0.225 0.910 0.283 PDA 500

C /120 s+FGA 0.219 0.902 0.274

PDA 300 °C/120 s

w/FGA As

2+

/As

2

O

5

As

2

O

3

/As

2

O

5

In

2

O

3

/InAsO

4

TEMAZ 0.210 0.867 0.228

TMA 0.225 0.916 0.364

Al

2

O

3

1cyc. 0.417 1.156 0.488

Al

2

O

3

5cyc. 0.915 1.543 0.501

Al

2

O

3

10cyc. 1.068 1.624 0.703

68

(a)

(b)

Fig. 2.37 Comparison of Dit and ratio of the fitted area from the As 2p3/2 and In 3d5/2 spectra profiles of ALD-TMA/ZrO2/In0.53Ga0.47As MOSCAPs (a) with Al2O3 1 cycle inter-layer (b) with PDA 300 °C /120 s, w/FGA.

0cycle 1cycle 5cycle 10cycle

69

Chapter 3

The Extraction of Border Traps for ZrO

2

/In

0.53

Ga

0.47

As MOSCAPs by a Distributed Bulk-Oxide Traps Model

3.1 Introduction

The concept of border traps has been introduced by Dan Fleetwood in 1992 [1] to define traps in the gate dielectrics of high-k/III-V MOS devices, which result in frequency dispersion at the accumulation region. The traps have been attributed to trapped-holes [2] or to oxygen deficiency defects [3]. As a rule, the density of border traps increases when the quality of the oxide decreases, due to poor stoichiometry or the presence of strained bonds at the interface [4]. However, the conventional interface states whose time constant in such bias regions is far shorter than the period of typical measurement frequencies can’t be used to explain this dispersion phenomenon. On the other hand, the trap states reside the high-κ dielectric, which are called border traps or slow oxide traps, have long time constants when thay exchange charge with the channel [5]. Furthermore, the frequency dispersion of conductance doesn’t follow the renowned peak deportment when the conventional conductance method [6] for the interface states is applied to the high to low transition (the maximum slope) of the C-V data.

In addition, comparing the experimental C-V curve with the ideal case demonstrates that the density of interface state far surpass which is extracted from the dispersion in that region.

Such variance can be resolved by a border trap model in which the low frequency portion give rise to the stretch-out C-V curve is stronger than the high-frequency portion for the dispersion.

In this chapter, the distributed border traps model is completed by adding integration of

70

the trap density with respect to energy for calculating the equivalent admittance. A differential equation is derived and numerically solved to produce frequency-dependent capacitance and conductance of the MOS devices. The model is validated and calibrated by the experimental data of p-type ALD- ZrO2/ Al2O3 /In0.53Ga0.47As MOSCAPs in accumulation region.

相關文件