• 沒有找到結果。

Chapter 1 Introduction

1.3 Thesis Organization

There are six chapters in this dissertation. This thesis is organized as follow:

In chapter 1, the overview of our study and motivations of this thesis are described.

In chapter 2, the introduction of the UHVCVD system instrument used in our experiments. We have fabricated high-quality relaxed SiGe films using an intermediate Si interlayer within the channel region and SiC films.

In chapter 3, we have investigated planarization of rough surfaces of strain-relaxed Si0.8Ge0.2 buffer layer by CMP and post-CMP cleaning. The effects of polish pad conditions and slurry solid contents on SiGe CMP process were investigated. By optimizing the polishing conditions, the smooth strained-Si surface on flatten Si0.8Ge0.2 buffer layer of 0.6 nm can be achieved. The novel cleaning solutions with various surfactants and chelating agents for post-CMP SiGe were studied. The surfactant (TMAH) and chelating agent (EDTA) into the diluted ammonium solution, removal efficiency of particles and metallic impurities is increased.

In chapter 4, we have demonstrated the fabrication of Dynamic Threshold voltage MOSFET (DTMOS) using the Si1-yCy (y=0.005) incorporation interlayer channel.

Compare to conventional Si-DTMOS, the introduction of the Si1-yCy interlayer for this device is realized by super-steep-retrograde (SSR) channel profiles due to the retardation of boron diffusion. A low surface channel impurity with heavily doped substrate can be achieved simultaneously. We have developed a novel n-channel Si1-yCy interlayer heterostructure DTMOS structure.

In chapter 5, we demonstrate the fabrication process and the electrical characteristics of n-channel polycrystalline silicon Thin-Film Transistors with different numbers of channel stripes. Poly-Si TFTs with multiple channels have better performance than conventional TFTs. Then, we fully discuss about the reliability of poly-Si TFTs with multiple channels. The effects of the number of channel stripes in multi-channel TFTs on performance and reliability have been investigated. For the fabrication of highly reliable devices and to improve the yield of multi-channel TFTs, the channel structures must be carefully designed.

In chapter 6, the improvement of polycrystalline silicon germanium thin-film transistors (poly-SiGe TFTs) using NH3 passivation and chemical mechanical polishing (CMP) process was examined. Experimental results indicated that NH3

passivation could effectively improve the turn on characteristics.

Finally, conclusions of this dissertation and recommendation for further research are presented in chapter 7. The compatibility of the propose technology can meet the trend of process requirement. It is expected that the processes in this thesis can be good choices in the future deep-submicron generation.

References:

[1] F. Schäffler, “High-mobility Si and Ge structures,” Semicond. Sci. Technol., vol.

12, pp. 1515-1549, 1997.

[2] T. E. Whall and E. H. C. Parker, “SiGe heterostructures for FET applications,” J.

Phys. D: Appl. Phys., vol. 31, pp. 1397-1416, 1998.

[3] U. König, M. Glück and G. Höck, “Si/ SiGe field-effect transistors,” J. Vac. Sci.

Technol. B, vol. 16, no. 5, pp. 2609-2614, 1998.

[4] C. K. Maiti, L. K. Bera and S. Chattopadhya, “Strained-Si heterostructure field effect transistors,” Semicond. Sci. Technol., vol. 13, pp. 1225-1246, 1998.

[5] D. J. Paul, “Silicon germanium heterostructures in electronics: the present and the future,” Thin Solid Films, vol. 321, no. 1-2, pp. 172-180, 1998.

[6] J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A. Fitzgerald and D. A. Antoniadis, “Strained silicon MOSFET technology,”in IEDM Tech.

Dig., 2002, pp. 22-26.

[7] P. M. Mooney, J. L. Jordan-Sweet, K. Ismail, J. O. Chu, R. M. Feestra, and F. K.

LeGoues, “Relaxed Si0.7Ge0.3 buffer layers for high-mobility devices,” Appl.

Phys. Lett., vol. 67, no.16, pp. 2373-2375, 1995.

[8] T. Ueno, T. Irisawa, Y. Shiraki, A. Uedono and S. Tanigawa, “Low temperature buffer growth for modulation doped SiGe/Ge/SiGe heterostructures with high hole mobility,” Thin Solid Films, vol. 369, pp. 320-323, 2000.

[9] C. H. Fa, and T. T. Jew, “The polysilicon insulated-gate field-effect transistor,”

IEEE Trans. Electron Devices, vol. 13, no. 2, pp. 290, 1966.

[10] Y. Oana, “Current and future technology of low-temperature poly-Si TFT-LCDs,”

Journal of the SID, vol. 9, pp. 169-172, 2001.

[11] S. Morozumi, K. Oguchi, S. Yazawa, Y. Kodaira, H. Ohshima, and T. Mano,

“B/W and color LC video display addressed by poly-Si TFTs,” SID Dig., pp.156, 1983.

[12] R. E. Proano, R. S. Misage, D. Jones, and D. G. Ast, “Guest-host active matrix liquid-crystal display using high-voltage polysilicon thin film transistors,” IEEE Trans. Electron Devices, vol. 38, pp. 1781, 1991.

[13] S. Batra, “Development of drain-offset (DO) TFT technology for high density SRAM’s,” Extended Abstracts, vol.94-2, in Electrochemical Soc. Fall Mtg., Miami Beach, FL, Oct. pp. 677,1994.

[14] M. Cao, et al., “A simple EEPROM cell using twin polysilicon thin-film transistors,” IEEE Trans. Electron Devices, vol. 15, pp. 304, 1994.

[15] N. D. Young, G. Harkin, R. M. Bunn, D. J. McCulloch, and I. D. French, “The fabrication and characterization of EEPROM arrays on glass using a low temperature poly-Si TFT process,” IEEE Trans. Electron Devices, vol. 43, pp.

1930, 1995.

[16] K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, “3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and system-on-chip integration,” Proceedings of the IEEE, vol.89, pp. 602-633, 2001.

[17] W. G. Hawkins, “Polycrystalline-silicon device technology for large-area electronics,” IEEE Trans. Electron Devices, vol. 33, pp. 477-481, 1986.

[18] H. Kuriyama et al., “Enlargement of poly-Si film grain size by excimer laser annealing and its application to high-performance poly-Si thin film transistor,”

Jpn. J. Appl. Phys., vol. 30, pp. 3700-3703, 1991.

[19] A. Nakamura, F. Emoto, E. Fujii, and A. Tamamoto, “A high-reliability, low-operation-voltage monolithic active-matrix LCD by using advanced solid-phase growth technique,” IEDM Tech. pp.847, 1990.

thin-film transistors,” IEEE Electron Device Lett., vol. 20, no. 2, pp. 77-79, Feb.

1999.

[21] N. Kudo, N. Kusumoto, T. Inushima, and S. Yamazaki, “Characterization of polycrystalline-Si thin-film transistors fabricated by excimer laser annealing method,” IEEE Trans. Electron Devices, vol. 40, pp. 1876-1879, Oct. 1994.

[22] S. W. Lee, T. H. Ihn, and S. K. Joo, “Fabrication of high-mobility p-channel poly-Si thin-film transistors by self-aligned metal-induced lateral crystallization,”

IEEE Electron Device Lett., vol. 17, no. 8, pp. 407-409, Aug. 1996.

[23] I. W. Wu, W. B. Jackson, T. Y. Huang, A. G. Lewis, and A. Ciang, “Passivation kinetics of two types of defeats in polysilicon TFT by plasma hydrogenation,”

IEEE Electron Devices lett., vol. 12, pp. 181-183, 1991.

[24] J. G. Fossum, A. Ortiz-Conde, H. Shicjijo, abd S. K. Banerjee, “Anomalous leakage current in LPCVD polysilicon MOSFET’s,” IEEE Trans. Electron Devices, vol. 32, pp. 1878-1882, 1985.

[25] A. Mimura, N. Konishi, K. Ono, J-I. Ohwada, Y. Hosokawa, Y. A. Ono, Y. Suzuki, K. Miyata, and H. Kawakami, “High performance low-temperature poly-Si n-channel TFT’s for LCD,” IEEE Trans. Electron Devices, vol. 32, pp. 351-359, 1989.

[26] K. Baert, H. Murai, K. Kobayashi, H. Namizaki, and M. Nunoshita, “Hydragen passivation of polysilicon thin-film-transistors by electron-cyclotron-resonance plasma,” Jpn. J. Appl. Phys., vol. 32, pp. 2601-2606, 1993.

[27] A. Yin, and S. J. Fonash, “High-performance p-channel poly-Si TFT’s using electron cyclotron resonance hydrogen plasma passivation,” IEEE Electron Devices lett., vol. 15, no. 12, pp. 502-503, 1994.

[28] M. J. Tasi, F. S. Wang, K. L. Cheng, S. Y. Wang, M. S. Feng, and H. C. Chen,

“Characterization of H2/N2 plasma passivation process for poly-Si thin-film

transistors (TFTs),” Solid State Electronics, vol. 38, no. 5, pp.1233-1238, 1995 [29] C. K. Yang, T. F. Lei, C. L. Lee, “Improved electrical characteristics of thin-film

transistors fabricated on nitrogen-implanted Polysilicon films,” IEDM Tech Dig., pp. 505, 1994.

[30] C. K. Yang, T. F. Lei, C. L. Lee, “The combined effects of low pressure NH3

annealing and H2 plasma hydrogenation on polysilicon thin-film-transistors,”

IEEE Electron Devices lett., vol. 15, pp. 389-390, 1994.

[31] H. C. Cheng, F. S. Wang, and C. Y. Huang, “Effects of NH3 plasma passivation on n-channel Polycrystalline silicon thin-film-transistors,” IEEE Trans. Electron Devices, vol. 44, no. 1, pp. 64-68, 1997.

[32] S. Ikeda, S. Hashiba, I. Kuramoto, H. Katoh, S. Ariga, T. Yamanka, T. Hashimoto, N. Hashimoto, and S. Megura, “A polysilicon transistor technology for large capacitance SRAMS,” in IEDM Tech. Dig., pp. 459-463, 1990.

[33] H. N. Chern, C. L. Lee, and T. F. Lei, “H2/O2 plasma on polysilicon thin film transistor,” IEEE Electron Device Lett., vol. 14, pp.115-117, 1993.

[34] I. W. Wu, W. B. Jackson, T. Y. Huang, A. G. Lewis, and A. Chiang, “Mechanism of device degradation in n- and p-channel polysilicon TFT’s by electrical stressing,” IEEE Electron Devices lett., vol. 11, pp. 167-170, 1990.

[35] K. Sawano, K. Kawaguchi, T. Ueno, S. Koh, K. Nakagawa and Y. Shiraki,

“Surface smoothing of SiGe strain-relaxed buffer layers by chemical mechanical polishing,” Mater. Sci. Eng. B, vol. 89, no.1-3, pp. 406-409, 2002.

[36] K. Sawano, K. Arimoto,Y. Hirose, S. Koh, N. Usami , K. Nakagawa, T. Hattori , and Y. Shiraki, “Planarization of SiGe virtual substrates by CMP and its application to strained Si modulation-doped structures,” J. Cryst. Growth, vol.

251, no.1-4, pp. 693-696, 2003.

Shiraki, “Planarization of SiGe virtual substrates by CMP and its application to strained Si modulation-doped structures,” in Conf. Molecular Beam Epitaxy, 2002, pp. 15-20.2002.

[38] N. Sugii, D. Hisamoto, K. Washio, N. Yokoyama and S. Kimura, “Enhanced performance of strained Strained-Si MOSFETs on CMP SiGe virtual substrate,”

in IEDM Tech. Dig., 2001, pp. 33.4.1-33.4.4.

[39] K. Sawano, S. Koh, Y. Shiraki, Y. Hirose, T. Hattori, and K. Nakagawa,

“Mobility enhancement in strained Si modulation-doped structures by chemical mechanical polishing,” Appl. Phys. Lett., vol. 82, pp. 412-415, 2003.

[40] N. Sugii, D. Hisamoto, K. Washio, N. Yokoyama and S. Kimura, “Performance enhancement of strained-Si MOSFETs fabricated on a chemical-mechanical- polished SiGe substrate,” IEEE Trans. Electron Devices, vol. 49, no. 12, pp.

223-2243, 2002.

[41] M. T, Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, E. A. Fitzgerald and D. A.

Antoniadis, “Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates,” J. Vac. Sci. Technol. B, vol.19, no. 6, pp.

2268-2279, 2001.

[42] N. Miyashita, M. Shimomura, Y. Minami, I. Katakabe, H. Nojo, H. Ohashi and M. Abe, “A new post CMP cleaning method for trench isolation process,”

ISMIC’96, 1996, p.161.

[43] K. Sawano, K. Kawaguchi, S. Koh, Y. Hirose, T. Hattori, K. Nakagawa and Y.

Shiraki, “Surface Planarization of Strain-Relaxed SiGe Buffer Layers by CMP and Post Cleaning,”J. Electrochem. Soc., vol. 150, pp. G376-G379, 2003.

[44] T. P. Pan, T. F. Lei, T. S. Chao, M. C. Liaw, F. H. Ko and C. P. Lu, “One-step cleaning solution to replace the conventional RCA two-step cleaning recipe for pre-gate oxide cleaning,” J. Electrochem. Soc., vol. 148, pp. G315-G319, 2001.

[45] T. M. Pan, T. F. Lei, C. C. Chen, T. S. Chao, M. C. Liaw, W. L. Yang, M. S. Tsai, C. P. Lu, W. H. Chang, “Novel cleaning solutions for polysilicon film post chemical mechanical polishing,” IEEE Electron Device Lett., vol. 21, no. 7, pp.

338-340, 2003.

[46] T. M. Pan, T. F. Lei, F. H. Ko, T. S. Chao, T. H. Chiu, Y. H. Lee, C. P. Lu,

“Comparison of novel cleaning solutions with various chelating agents for post-CMP cleaning on poly-Si film,” IEEE Trans. Semiconductor Manufacturing, vol. 14, no. 4, pp. 365-371, 2001.

[47] F. Assaderaghi, D. Sinitsky, S. Paske, J. Boker, P. K. Ko, and C. Hu, “A dynamic threshold voltage (DTMOS) for ultra-low voltage operation,” in IEDM Tech.

Dig., Dec. 1994, pp. 809–812.

[48] F. Assaderaghi, D. Sinitsky, S. Parke, J. Bokor, P. K. Ko, C. Hu,” Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI,” IEEE Trans.

Electron Devices, vol. 44, pp. 414–422, Mar. 1997.

[49] S. J. Chang, C. Y. Chang, C. Chen, T. S. Chao, Y. J. Lee,and T. Y. Huang,”

High-performance and high-reliability 80-nm gate-length DTMOS with indium super steep retrograde channel,” IEEE Trans. Electron Devices, vol. 47, pp.

2379–2384, Dec. 2000.

[50] S. J. Chang, C. Y. Chang, T. S. Chao, and T. Y. Huang,” High performance 0.1 µm dynamic threshold MOSFET using indium channel implantation,” IEEE

Electron Device Lett., vol.21, pp. 127–129, Mar. 2000.

[51] T. Takagi, A. Inoue, Y. Hara, Y. Kanzawa, and M. Kubo, “A novel high performance SiGe channel heterostructure dynamic threshold pMOSFET (HDTMOS),” IEEE Electron Device Lett., vol.22, pp. 206–208, May 2001.

[52] P. A. Stolk, D. J. Eaglesham, H.-J. Gossmann, and J. M. Poate, “Carbon incorporation in silicon for suppressing interstitial-enhanced boron diffusion,”

Appl. Phys. Lett., vol. 66, pp. 1370–1372, Mar. 1995.

[53] H. Rucker, B. Heinemann, W. Ropke, R. Kurps, D. Kruger, G. Lippert, and H. J.

Osten, “Suppressed diffusion of boron and carbon in carbon-rich silicon,” Appl.

Phys. Lett., vol. 73, pp. 1682–1684, Sep. 1998.

[54] H. Rucker, B. Heinemann, D. Bolze, R. Kurps, D. Kruger, G. Lippert, and H. J.

Osten, “The impact of supersaturated carbon on transient enhanced diffusion,”

Appl. Phys. Lett., vol. 74, pp. 3377–3379, May 1999.

[55] M. S. Carroll and J. C. Sturm, “Quantification of substitutional carbon loss from Si0.998C0.002 due to silicon self-interstitial injection during oxidation,” Appl. Phys.

Lett., vol. 81, pp. 1225–1227, Aug. 2002.

[56] L. D. Lanzerotti, J. C. Sturm, E. Stach, R. Hull, T. Buyuklimanli, and C. Magee,

“Suppression of boron outdiffusion in SiGe HBTs by carbon Incorporation,” in

IEDM Tech. Dig., Dec. 1996, pp. 249–252.

[57] H. J. Osten, G. Lippert, D. Knoll, R. Barth, B. Heinemann, H. Rucker, and P.

Schley, “The effect of carbon incorporation on SiGe Heterobipolar Transistor

performance and process margin,” in IEDM Tech. Dig., Dec. 1997, pp.803–806.

[58] T. Takeshita, T. Unagami, and O. Kogure, “Study on narrow-stripe polycrystalline silicon thin-film transistors,” Jpn. J. Appl. Phys., vol. 27, no. 10, pp. 1937-1941, Oct. 1988.

[59] T. Unagami, and O. Kogure, “Large on/off current ratio and low leakage current poly-Si TFT’s with multichannel structure,” IEEE Trans. Electron Devices, vol. 35, no. 11, pp. 1986-1989, Nov. 1988.

[60] T. Unagami, “High-voltage poly-Si TFT’s with multichannel structure,” IEEE Trans. Electron Devices, vol. 35, no. 12, pp. 2363-2367, Dec. 1988.

[61] Y. C. Wu, C. Y. Chang, T. C. Chang, P. T. Liu, C. S. Chen, C. H. Tu, H. W. Zan, Y.

H. Tai, and S. M. Sze, “High performance and high reliability polysilicon thin-film transistors with multiple nano-wire channels,” in IEDM Tech. Dig., pp.

777-780, Dec. 2004.

[62] T. -J. King, K. C. Saraswat, J. R. Pfiester, “PMOS transistors in LPCVD polycrystalline silicon-germanium films,” IEEE Electron Device lett., vol. 12, no. 11, pp. 584-586, Nov. 1991.

[63] T.-J. King and K. C. Saraswat, “Deposition and properties of low-pressure chemical-vapor deposited polycrystalline silicon-germanium films,” J.

Electrochem. Soc., vol. 141, pp. 2235, 1994

[64] V. Subramanian, K. C. Saraswat, “Optimization of silicon-germanium TFT's through the control of amorphous precursor characteristics,” IEEE Trans.

Electron Devices, vol. 45, no. 8, pp. 1690-1695, 1998.

[65] T.-J. King and K. C. Saraswat, “Polycrystalline silicon-germanium thin-film transistors,” IEEE Trans. Electron Devices, vol. 41, pp. 1581, Sept. 1994.

[66] A. J. Tang, J. A. Tsai, R. Reif, and T.-J. King, “A novel poly-silicon-capped poly-silicon-germanium thin-film transistor,” in IEDM Tech. Dig., pp. 513, 1995.

[67] V. Subramanian, K. Saraswat, H. Hovagimian, and J. Mehlhaff, “Optimization and modeling of silicon-germanium thin film transistors for AMLCD applications using a Plackett-Burman experimental design,” 1st Int. Workshop Statistical Metrology Honolulu, HI, June 9, 1996.

[68] V. Subramanian, K. Saraswat, H. Hovagimian, and J. Mehlhaff, “Response surface characterization of the deposition of LPCVD SiGe for solid-phase crystallized Poly-TFT's,” Proc. 2nd Int. Workshop Statistical Metrology, pp. 94, 1997.

[69] C. Y. Chang, H. Y. Lin, T. F. Lei, J. Y. Cheng, L. P. Chen and B. T. Dai,

“Fabrication of thin film transistors by chemical mechanical polished polycrystalline silicon films,” IEEE Electron Device lett., vol. 17, no. 3, pp.

100-102, 1996.

[70] H. Y. Lin, C. Y. Chang, T. F. Lei, F. M. Liu, W. L. Yang, J. Y. Cheng, H. C.Tseng and L. P. Chen, “Low-temperature and low thermal budget fabrication of polycrystalline silicon thin-film transistors,” IEEE Electron Device lett., vol. 17, no. 11, pp. 503-505, 1996.

[71] A . B. Y. Chan, C. T. Nguyen, P. K. Ko, M. Wong, A. Kumar, J. Sin and S. S.

Wong, “Optimizing polysilicon thin-film transistor performance with chemical-mechanical polishing and hydrogenation,” IEEE Electron Device lett., vol. 17, no. 11, pp. 518-520, 1996.

[72] A . B. Y. Chan, C. T. Nguyen, P. K. Ko, S. T. H. Chan and S. S. Wong, “Polished TFT's: Surface roughness reduction and its correlation to device performance improvement,” IEEE Trans. Electron Devices, vol. 44, no. 3, pp. 455-463, 1997.

[73] H. Y. Lin, C. Y. Chang, T. F. Lei, J. Y. Cheng, H .C. Tseng and LP Chen,

“Characterization of polycrystalline silicon thin film transistors fabricated by ultrahigh-vacuum chemical vapor deposition and chemical mechanical polishing,” Jpn. J. Appl. Phys., vol. 36, no. 7A, pp. 4278-4282, 1997.

[74] P. S. Shih, T. C. Chang, C. Y. Liang, T. Y. Huang and C. Y. Chang,

“Improvements of amorphous-silicon inverted-staggered thin-film transistors using high-temperature-deposited Al gate with chemical mechanical polishing,”

Electrochemical and Solid state letters, vol. 3, no. 5, pp. 235-238, 2000.

Chapter 2

Deposition of SiGe and SiC Epitaxy Films by Ultra-High Vacuum Chemical Vapor Deposition

(UHVCVD) System

2.1 Introduction

In the last few years, there has been significant interest in SiGe heteroepitaxial growth on silicon substrates, because Si/ SiGe hetero-structures allow band-gap engineering to be used in conjunction with silicon technology. Of particular interest are the pseudomorphic p-type Si1−xGex channel (x =10-20%) modulation doped field effect transistor (MODFET), the n-type strained Si channel MODFET and the p-type strained Si1−xGex channel MODFET (x > 70%). Excellent review articles on these high mobility devices can be found in [1]-[5]. To minimize the number of threading dislocations inside the relaxed Si1−xGex buffer layer, it is customary to grow initially a rather thick linearly graded or step-graded SiGe layer (with a Ge composition close to zero at the beginning of the ramp and equal to x at the end of it), whose function is to accommodate gradually the lattice mismatch between Si and Si1−xGex, and thus confine the misfit dislocations inside the graded layer. The combination of a Si1−xGex

graded layer followed by a relaxed constant composition Si1−xGex buffer layer is called a virtual substrate.

Strain improves MOSFET drive currents by fundamentally altering the band structure of the channel and can therefore enhance performance even at aggressively scaled channel lengths. A relaxed Si1−xGex graded buffer creates a larger lattice constant on a Si substrate (i.e., “virtual substrates”) and can be used as an epitaxial

template for depositing Si-rich layers in a state of biaxial tension or Ge-rich layers in a state of biaxial compression. While uniaxial strain in the channel region of bulk Si MOSFETs can be intentionally induced during device processing, Si1−xGex virtual substrates allow for the fabrication of wafer-scale strained layers that can confine holes or electrons. Such band-engineered heterostructures can be optimized to allow mobility enhancement factors over bulk Si of 2 for electrons and as high as 10 for holes.

The 4.2% difference in the lattice constants of Si and Ge atoms can be used to create high-mobility strain-engineered devices. Electron mobility is enhanced in strained-Si compared with bulk-Si due to tensile strain splitting the six-fold degenerate conduction band valleys and causing the resulting two-fold band with lower energy and reduced in-plane effective mass to be preferentially filled [6]. A four-fold band with increased energy is also created, which additionally contributes to the higher electron mobility through a reduction in intervalley scattering. Tensile strained-Si layers are, thus, useful for electron channels of high mobility n-MOSFETs.

Epitaxial growth of Si on relaxed SiGe alloys creates such strained-Si layers due to the larger atomic spacing of Ge, and consequently relaxed SiGe alloys [7], compared with Si. Hole transport is improved in both tensile strained-Si and compressively strained-SiGe compared with bulk-Si. Modifications to the electronic band structure and a reduction of the hole effective mass have been found to increase mobility in strained-SiGe by five times [8]. Epitaxial growth of SiGe on either bulk-Si or relaxed SiGe alloys with a lower Ge content than the growing film can produce compressively strained-SiGe. There are some challenges in using strain to enhance the performance of CMOS devices and many of these are related to the critical thickness of a strained-layer [9]. If a strained-layer is grown above the critical thickness, strain

relaxes with the introduction of misfit defects at the strained-Si-SiGe hetero-interface and the enhanced transport properties arising from the strain are lost. Minimizing the exposure of the strained-material to high thermal budgets during processing reduces the probability of material degradation, since high temperatures cause strain to relax.

However, non-optimized processing conditions may lead to degraded extrinsic performance [10]-[12]. Reducing the thickness of the strained-layer may protect the material against strain relaxation, but very thin channel layers compromise the performance gains achievable [13]. Dual-channel structures [14] minimize the cumulative strain within the devices by the sequential growth of tensile and compressively strained-layers, thereby allowing higher thermal budgets to be used before the onset of strain relaxation. The strain-compensation within the dual channel structure can alternatively be traded off against thicker strained-channel layers. By growing a compressive strained-SiGe layer followed by a tensile strained-Si layer on a single-relaxed SiGe “virtual substrate,” the band offsets between the oppositely strained-materials can be used to create high mobility surface n- and buried p-channel MOSFETs. This dual-channel CMOS architecture has been shown theoretically to maximize the transconductance of both n- and p-channel devices for a range of achievable mobilities [15]. The benefits of using dual-channel device architectures have recently received attention [14]-[18]. Rim et al. have investigated p-channel performance in dual-channel architectures using a compressively strained-SiGe layer below a tensile strained-Si layer [16], but have only provided a limited assessment of electron mobility in such structures. Researchers at the Massachusetts Institute of Technology (MIT) have considered dual-channel structures with a view to optimizing buried p-MOS devices using relaxed Si Ge virtual substrates (VS), with [8], [17], [18], concluding that surface electron mobility is not influenced by the presence of a compressive SiGe buried p-channel layer. However, the devices had long channel

lengths and were fabricated using a low thermal budget process, unlike conventional CMOS. Optimizing the VS for n-channel performance is paramount, since n-MOSFETs often dominate circuit speed. Previously reported strained-Si n-channel MOSFETs fabricated using a high thermal budget on a dual-channel architecture, which was designed for obtaining high n-channel performance [14]. The devices were fabricated on ultrahigh vacuum chemical vapor deposition (CVD) virtual substrate material and demonstrated some of the highest performance gains reported to date compared with unstrained-Si control devices over a wide range of gate lengths.

However, the primary aim of incorporating the buried strained-SiGe layer is to

However, the primary aim of incorporating the buried strained-SiGe layer is to

相關文件