• 沒有找到結果。

Comparison of Planar and Multi-Gate MOSFETs

Chapter 4 Variability in Multi-Gate MOSFETs

4.3 Comparison of Planar and Multi-Gate MOSFETs

In this comparison, our planar MOSFETs follow the ITRS roadmap for 25-, 18-, 13-, 9-nm channel length transistors. To make a fair comparison, we design planar and multi-gate MOSFETs with the same total width and Ioff by adjusting the corresponding gate work functions. Table 4.2 summarizes the device parameters of planar and multi-gate MOSFETs.

Fig. 4.5 is the comparison of planar MOSFETs and FinFETs with corresponding variation sources as LER is under the pessimistic condition. The difference between planar and FinFET devices is small for 25-nm channel length

device, and FinFET starts to prevail planar counterpart as scaled below 18nm. The degradation of variability with decreasing channel length results from the LER for both planar and FinFET transistors. Quantitatively, FinFET is four times better than planar devices for the 13- and 9-nm channel lengths. Without the improvements in LER, threshold voltage dispersion would reach 280 and 80 mV for planar and FinFET devices, respectively. We may assume each source of intrinsic fluctuation is statistically independent and calculate the overall Vth dispersion by [30]:

2 2 2

σ

V

th

=

σ

V

RDF

+

σ

V

LER

+

σ

V

EOT (4.1)

Fig. 4.6 shows the overall threshold voltage variation for planar and FinFET transistors. It can be seen that the difference between planar and FinFET devices becomes pronounced with the scaling of channel length.

4.4 Summary

We have investigated the impacts of RDF, LER and EOT variation on FinFET transistors at different technology nodes. Two scenarios of LER are included. In the pessimistic LER scenario, LER will become the dominant fluctuation source due to the deteriorated electrostatic integrity. Designing the devices with comparable gate and fin LER components by varying AR is beneficial to reduce the overall threshold voltage fluctuation. When we follow the optimistic predictions of LER in ITRS, we find that the threshold voltage variation induced by LER is well-controlled. In this scenario, the Source/Drain RDF starts to prevail LER as channel length is below 10 nm. Our results indicate that the difference between planar and FinFET devices the

overall threshold voltage variation becomes pronounced with the scaling of channel lengths.

Table 4.1 Specifications of model parameters used in the work.

Fig. 4.1 Threshold voltage variation for 25-, 18-, 13- and 9-nm channel length MOSFETs due to RDF, LER and EOT variation. LER is kept at rms=1.5nm and correlation length=30nm.

rms amplitude

correlation length = 30nm

Fig. 4.2 Id-Vg characteristic of 150 9-nm FinFETs due to fin LER.

Fig. 4.3 AR dependence of gate and fin LER fluctuations for 9-nm FinFETs.

1.0 1.5 2.0

20 30 40 50 60 70 80 90 100

S ig m a V th [ m V ]

Aspect Ratio

Total LER fin LER gate LER

MuG with Lgate=9nm Wtotal=27nm,|Vds|=0.05V

10 15 20 25 0

5 10 15 20 25 30

S ig m a V th [ m V ]

Channel length [nm]

RDF(channel+S/D) LER

EOT

Wtotal=3×Lgate

|Vds|=0.05V

Fig. 4.4 Threshold voltage variation for 25-, 18-, 13- and 9-nm channel length MOSFETs due to RDF, LER and EOT.

LER follows the ITRS roadmap with correlation length = 30 nm.

Table 4.2 Design parameters of planar and multi-gate MOSFETs.

0

Fig. 4.5 Comparison of individual variation source for planar and FinFET devices. LER is kept at rms=1.5nm and correlation length=30nm.

Fig. 4.6 Comparison of overall Vth fluctuation for planar and FinFET transistors. LER is kept at rms=1.5nm and correlation length=30nm.

Chapter 5 Conclusions

In this thesis, we have provided a systematic investigation of three important intrinsic parameter fluctuations, namely RDF, LER and EOT variation, with sophisticated simulation approaches for multi-gate MOSFETs.

For the RDF part, we have performed atomistic simulation to capture the impact of each dopant inside the channel. Our full Monte Carlo approach can capture both number and position components. In addition, the threshold voltage lowering of atomistic simulation with respect to continuous simulation has been observed in this work. In lightly doped devices, our study indicates that the position component dominates. In heavily doped devices, the number and position fluctuations are comparable and the implementation of full Monte Carlo simulation is required. Under the consideration of RDF, lightly doped FinFET (AR=2) is the recommended device design.

LER can be classified into fin- and gate-LER for multi-gate devices. In our simulations, we find that the gate and fin LER will become dominant for devices with critical dimension in channel length and fin width, respectively. An appropriate device design with comparable gate and fin LER variations may be helpful in reducing the overall LER. Because of the improved electrostatic integrity, multi-gate device with heavily doped channel has better immunity to LER at the price of worse RDF.

Comparing both the RDF and LER effects, we find that lightly doped FinFET is still

the best choice.

EOT variation can be grouped into oxide thickness variation (OTV) and dielectric constant fluctuation (DCF). We find that EOT variation is closely related to the bulk charge in channel region and this fluctuation source is small compared to RDF and LER.

In the pessimistic LER scenario, LER will become the dominant fluctuation due to the deteriorated electrostatic integrity. In the optimistic scenario, we find that the threshold voltage variation induced by the LER is under control even at 9-nm channel length devices. However, for multi-gate devices with well-controlled LER and sub-10nm channel length, the RDF from the Source/Drain encroachment will become increasingly important.

Under the same total width and Ioff, we have compared the variability of planar and multi-gate MOSFETs. Without effective improvement of LER, fin LER would become the dominant variation source for both planar and multi-gate transistors. If we assume each variation is independent and compare the overall fluctuations, we find that FinFET is better than planar MOSFETs and the difference between planar and FinFET devices increases with the scaling of channel length.

References

[1] Y. Taur, T. H. Ning, “Fundamentals of Modern VLSI Devices,” Cambridge University press, 1998.

[2] http://www.itrs.net/

[3] E. J. Nowak, I. Aller, T. Ludwig, K. Kim, R. V. Joshi, C. T. Chuang, K. Bernstein and R. Puri, “ Turning silicon on its edge, ” IEEE Circuit & Devices Magazine, pp.

20-31, 2004.

[4] B. S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A.

Murthy, R. Rios and R. Chau, “ High Performance Fully-Depleted Tri-Gate Transistors, ” IEEE Electron Device Lett., vol. 24, no. 4, 2003.

[5] A. Asenov, A. R. Brown, J. H. Davies, S. Kaya and G. Slavcheva, “ Simulation of Intrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs, ” IEEE Trans. on Electron Devices, vol. 50, no. 9, 2003.

[6] T. Ohtou, N. Sugii and T. Hiramoto, “Impact of Parameter Variations and Random Dopant Fluctuations on Short-Channel Fully Depleted SOI MOSFETs With Extremely Thin BOX,” IEEE Electon Device Lett. vol. 28, no. 8, 2007.

[7] B. Cheng, S. Roy, A. Asenov, “ CMOS 6-T SRAM cell design subject to

“atomistic” fluctuations, ” Solid State Electronics, pp. 565-571, 2007.

[8] H-S Wong and Y. Taur, “Three-Dimensional “Atomistic” Simulation of Discrete Random Dopant Distribution Effects in Sub-0.1µm MOSFETs,” IEDM Tech. Dig., pp.

705-708, 1993.

[9] S. M. Goodnick, D. K. Ferry and C. W. Wilmsen, “ Surface Roughness at the Si(100)-SiO2 interface, ” Physical Review B, vol. 32, pp. 8171-8186, 1985.

[10] A. Asenov, S. Kaya and A. R. Brown, “ Intrinsic Parameter Fluctuations in Decananometer MOSFETs Introduced by Gate Line Edge Roughness, ” IEEE Trans.

on Electron Device, vol. 50, no. 5, pp. 1254-1260, 2003.

[11] A. Asenov, S. Kaya and J. H. Davies, “ Intrinsic Threshold Voltage Fluctuations in Decanano MOSFETs Due to Local Oxide Thickness Variations, ” IEEE Trans. on Electron Devices, vol. 49, no. 1, pp. 112-119, 2002.

[12] M. Aldegunde, A. J. Garcia-Louriro and K. Kalna, “Study of fluctuations in advanced MOSFETs using a 3D finite element parallel simulator,” Journal of Computation Electron, pp. 311-314, 2006.

[13] A. V-Y Thean, Z-H Shi, L. Mathew, T. Stephens, H. Desjardin, T. White, M.

Stoker, L. Prabhu, R. Garcia, B-Y Nguyen, S. Murphy, R. Rai, J. Conner, B.E. White and S. Venkatesan, “ Performance and Variability Comparisons between Multi-Gate FETs and Planar SOI Transistors, ” IEDM Tech. Dig., 2006.

[14] F-L Yang, J-R Hwang and Y. Li, “Electrical Characteristic Fluctuations in Sub-45nm CMOS Devices,” Custom Integrated Circuits Conf., pp. 691-694, 2006.

[15] E. Baravelli, M. Jurczak, N. Speciale, K. D. Meyer and A. Dixit, “Impact of LER and Random Dopant Fluctuations on FinFET matching performance,” Silicon Nanoelectronics Workshop, pp. 23-24, 2007.

[16] Y.-S. Wu and P. Su, “Sensitivity of Multi-gate MOSFETs to Process Variations- An Assessment Based on Analytical Solutions of 3-D Poisson’s Equation,” IEEE Trans. on Nanotechnology, vol. 7, no. 3, 2008.

[17] http://www.intel.com/

[18] A. Asenov, “Random Dopant Induced Threshold Voltage Lowering and Fluctuations in Sub-0.1 µm MOSFET’s : A 3-D “Atomistic” Simulation Study,”

IEEE Trans. on Electron Devices, vol. 45, no. 12, 1998.

[19] C. Riddet, A. R. Brown, C. L. Alexander, J. R. Watling, S. Roy, and A. Asenov,

“3-D Monte Carlo Simulation of the Impact of Quantum Confinement Scattering in

Nanotechnology, vol. 6, no. 1, 2007.

[20] D. J. Frank, Y. Taur, M. Ieong and H-S P. Wong, “Monte Carlo Modeling of Threshold Variation due to Dopant Fluctuations,” Symp. on VLSI Tech. Dig., pp.

171-172, 1999.

[21] “ISE TCAD Rel. 10.0 Manual,” DESSIS, 2004.

[22] N. Sano, K. Matsuzawa, M. Mulai, and N. Nakayama,” Role of long-range and short-range Coulomb potentials in threshold characteristics under discrete dopants in sub-0.1μm Si-MOSFETs,” IEDM Tech. Dig., pp. 275-278, 2000.

[23] T. Hagiwara, K. Yamaguchi, and S. Asai, “Threshold Voltage variation in very small MOS transistors due to local dopant fluctuations,” Symp. on VLSI Tech. Dig., pp.

46-47, 1982.

[24] Y. Yasuda, M. Takamiya, and T. Hiramoto, “Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on Vth Fluctuations in Scaled MOSFETs,” IEEE Trans. on Electron Devices, vol. 47, no. 10, pp. 1838-1842, 2000.

[25] J. A. Rice “Mathematical Statistics and Data Analysis,” Duxbury press, 1995.

[26] Y.-S. Wu, and P. Su, “Investigation of Random Dopant Fluctuation for Multi-Gate Metal–Oxide–Semiconductor Field-Effect Transistors Using Analytical Solutions of Three-Dimensional Poisson's Equation,” Jpn. J. Appl. Phys., vol. 47, pp.2097-2102 2007

[27] Y. Li, C.-H. Hwang, A.-M. Yu, and H.-M. Huang, “Characteristic Fluctuation Dependence on Gate Oxide Thickness Scaling in Nano-MOSFETs,” Silicon Nanoelectronics Workshop, pp. 79-80, 2007.

[28] A. Asenov, and S. Saini, “Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1-µm MOSFET’s with Epitaxial and δ -Doped Channels,” IEEE Trans. on Electron Devices, vol. 46, no. 8, pp. 1718-1724, 1999.

[29] N. Sano, K. Matsuzawa, M. Mukai, and N. Nakayama, “On discrete random

dopant modeling in drift-diffusion simulations: physical meaning of “atomistic”

dopants,” Microelectronics Reliability, vol. 42, pp. 189-199, 2002.

[30] G. Roy, A. R. Brown, F. A. Lema, S. Roy, and A. Asenov, “Simulation Study of Individual and Combined Sources of Intrinsic Parameter Fluctuations in Conventional Nano-MOSFETs,” IEEE Trans. on Electron Devices, vol. 53, no. 12, pp. 3063-3070, 2006.

[31] T. Ezaki, T. Ikezawa, and M. Hane, “Investigation of Realistic Fluctuation Induced Devices Characteristics Variation for Sub-100nm CMOS by Using Atomistic 3D Process/Device Simulator,” IEDM Tech. Dig., pp. 311-314, 2002.

[32] P. Oldiges, Q. Lin, K. Petrillo, M. Sanchez, M. Ieong, and M. Hargrove,

“Modeling line edge roughness effects in sub 100nm gate length devices,” Int. Conf.

SISPAD, pp. 131-134, 2000.

[33] C. H. Diaz, H.-J. Tao, Y.-C. Ku, A. Yen, and K. Young, “An experimentally validated analytical model for gate line edge roughness (LER) effects on technology scaling,” IEEE Electron Device Lett., vol. 22, no. 6, pp. 287-289, 2001.

[34] E. Baravalli, A. Dixit, R. Rooyackers, M. Jurczak, N. Speciale, and K. D. Meyer,

“Impact of Line-Edge Roughness on FinFET Matching Performance,” IEEE Trans. on Electron Devices, vol. 54, no. 9, pp. 2466-2474, 2007.

[35] S. Xiong and J. Bokor, “A Simulation Study of Gate Line Edge Roughness Effects on Doping Profiles of Short-Channel MOSFET Devices,” IEEE Trans. on Electron Devices, vol. 51, no. 2, pp. 228-232, 2004.

[36] M. Hane, T. Ikezawa and T. Ezaki, “Coupled Atomistic 3D Process/Device Simulation Considering Both Line-Edge Roughness and Random-Discrete-Dopant Effects,” Int. Conf. SISPAD, pp. 99-102, 2003.

[37] A. T. Putra, T. Tsunomura, A. Nishida, S. Kamohara, K. Takeuchi and T.

Variation in MOSFETs,” Silicon Nanoelectronics Workshop, 2008.

[38] G. D. Wilk, R. M. Wallace and J. M. Anthony, “High-k gate dielectrics: Current status and materials properties considerations,” Appl. Phys. Rev., vol. 89, num. 10, pp.

5243-5275, 2001.

[39] G. Bersuker, P. Zeitzoff, G. Brown and H. R. Huff, “Dielectrics for future transistors,” Materials today, vol. 7, pp. 26-33, 2004.

[40] A.-R. Brown, J. R. Watling, A. Asenov, G. Bersuker and P. Zeitzoff, “Intrinsic parameter fluctuations in MOSFETs due to structural non-uniformity of high-k stack materials,” Proc. SISPAD, p. 131, 2005.

[41] K. Samsudin, F. A.-Lema, A. R. Brown, S. Roy and A. Asenov, “Intrinsic Parameter Fluctuations in Sub-10nm generation UTB SOI MOSFETs,” European Workshop on ULSI, pp. 93-96, 2006.

簡歷 簡歷 簡歷 簡歷

姓名:范銘隆

生日:72 年 11 月 19 日 籍貫:台灣省台中市

地址:台中市向上路一段 245 巷一弄 19 號 學歷:

國立台中第一高級中學畢業 (88 年 9 月~91 年 6 月) 國立交通大學電機與控制工程學系畢業 (91 年 9 月~95 年 6 月) 國立交通大學電子工程研究所碩士班畢業 (95 年 9 月~97 年 9 月)

碩士論文題目 碩士論文題目 碩士論文題目 碩士論文題目::::

多重閘極金氧半場效電晶體的本質參數變異特性分析

Investigation of Intrinsic Parameter Fluctuations for Multi-Gate MOSFETs

相關文件