• 沒有找到結果。

Chapter 1 Introduction

1.2 Organization of the Thesis

Three main topics are investigated and discussed in this dissertation. First, the

effect of hot-electron-induced electron trapping on device reliability and the low-frequency flicker noise characteristics for the deep sub-micron nMOSFETs with ultra-thin nitrided gate oxides are investigated. Second, the device degradation mechanism and the channel thickness effect on device characteristics for the deep sub-micron strained SiGe channel pMOSFETs with ultra-thin N2O-annealed SiN gate dielectric are studied. Third, the electrical characteristics of the ultra-thin HfO2 gate dielectrics prepared using various pre-deposition surface treatments are compared and discussed. This dissertation is also divided into seven chapters.

In Chapter 1, a brief overview of the background and the motivation are introduced. Three main advanced technologies for deep sub-micron MOSFETs are reviewed and we also discuss the most important issues for each technology.

In Chapter 2, the hot-electron degradation of the 0.13 µm nMOSFETs with various ultra-thin gate oxides (EOT = 1.6 nm), including thermal oxide, Si3N4/SiO2

stack, NO oxynitride, and plasma nitrided oxide, are investigated. Severe hot-electron degradations under both channel hot-electron and substrate hot-electron injections are observed for the nitrided oxide devices comparing with the thermal oxide device. We also found that the main mechanism responsible for the hot-electron degradation should be dominated by the electron trap generation rather than the interface state generation in the ultra-thin nitrided gate oxides.

In Chapter 3, we investigated the low-frequency flicker noise characteristics of the 0.15 µm nMOSFETs with ultra-thin (EOT = 1.6 nm) gate oxides of thermal oxide, Si3N4/SiO2 stack, NO oxynitride, and plasma nitrided oxide subjecting to the hot-carrier stressing and the occurrence of oxide breakdown. The nitrogen incorporation in the ultra-thin gate oxide may increase the noise level by introducing electron traps but improve the device immunity against the hot-carrier degradation in the flicker noise. Comparing with the thermal oxide device, moderate increase of the

noise level for the nitrided oxide devices is observed when oxides are suffering breakdown. We also found that the frequency index of the noise spectrum is varied with the gate bias and decreased by hot-carrier degradation and oxide breakdown.

In Chapter 4, we have fabricated the pMOSFETs with 50-nm thick strained Si0.85Ge0.15 channel and ultra-thin (EOT = 3.1 nm) N2O-annealed SiN gate dielectric and investigated the device degradations of the hot-carrier and the negative-bias temperature-instability stressing. The excellent quality of the ultra-thin N2O-annealed SiN gate dielectric is obtained. The experimental results indicate that the hot-carrier degradation is worse than the NBTI degradation and the channel hot-carrier stressing is the worst case for all kinds of reliability testing. We have also verified that the interface state generation should be the main mechanism responsible for the hot-carrier degradation while the electron trapping dominates the device degradation for the NBTI stressing.

In Chapter 5, we have fabricated the strained Si0.85Ge0.15 channel pMOSFETs with ultra-thin (EOT = 3.1 nm) N2O-annealed SiN gate dielectric and different channel thickness of 5, 15, and 30 nm. We have found that the thickness of SiGe channel has a great impact on the device characteristics. The thinner SiGe channel devices have been demonstrated to have higher interface quality, better device performance, lower leakage current, and higher mobility than the 30 nm SiGe channel device.

In Chapter 6, we have investigated the electrical characteristics of ultra-thin HfO2

gate dielectrics with various pre-deposition surface treatments, such as HF dipping, NH3 surface nitridation, and rapid thermal oxidation. The NH3 nitridation technique has been shown to be superior to other surface treatment techniques. The dependence of hysteresis on the initial inversion bias, temperature, and frequency are also investigated. The hysteresis width is found to be increased with increasing the initial inversion bias and decreased with the temperature, and it is insensitive to the

measuring frequency. The experimental results also indicate that the hysteresis width is exponentially dependent on both the initial inversion bias and the temperature and can be described by an empirical relationship in the form ( ) exp(C TR Vv inv). The trap-assisted tunneling is also verified as the dominant conduction mechanism of the ultra-thin HfO2 gate dielectrics.

In Chapter 7, we conclude our results and summarize the main conclusions in a list, and the suggestions for further studies are also discussed.

References

[1] International Technology Roadmap for Semiconductors, 2004.

[2] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, “Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's,” IEEE Electron Device Lett., vol. 18, p. 209, 1997.

[3] R. B. Fair, “Anomalous B penetration through ultrathin gate oxides during rapid thermal annealing,” IEEE Electron Device Lett., vol. 20, p. 466, 1999.

[4] E. P. Gusev, H. C. Lu, E. L. Garfunkel, T. Gustafsson, and M. L. Green,

“Growth and characterization of ultrathin nitrided silicon oxide films,” IBM J.

Res. Develop., vol. 43, p. 265, 1999.

[5] C. H. Chen, Y. K. Fang, S. F. Ting, W. T. Hsieh, C. W. Yang, T. H. Hsu, M. C.

Yu, T. L. Lee, S. C. Chen, C. H. Yu, and M. S. Liang, “Downscaling limit of equivalent oxide thickness in Formation of ultrathin gate dielectric by thermal-enhanced remote plasma nitridation,” IEEE Trans. Electron Devices, vol. 49, p. 840, 2002.

[6] S. Inaba, T. Shimizu, S. Mori, K. Sekine, K. Saki, H. Suto, H. Fukui, M.

Nagamine, M. Fujiwara, T. Yamamoto, M. Takayanagi, I. Mizushima, K.

Okano, S. Matsuda, H. Oyamatsu, Y. Tsunashima, S. Yamada, Y. Toyoshima, and H. Ishiuchi, “Device Performance of Sub-50 nm CMOS with Ultra-Thin Plasma Nitrided Gate Dielectrics, “ IEDM Tech. Dig., p. 651, 2002.

[7] H. Niimi and G. Lucovsky, “Monolayer-level controlled incorporation of nitrogen at Si-SiO2 interfaces using remote plasma processing,” J. Vac. Sci.

Technol. A, vol. 17, p. 3185, 1999.

[8] C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. C. Yu, T. H.

Hou, M. F. Wang, S. C. Chen, C. H. Yu, and M. S. Liang,

“Thermally-enhanced remote plasma nitrided ultrathin (1.65 nm) gate oxide with excellent performances in reduction of leakage current and boron diffusion,” IEEE Electron Device Lett., vol. 22, p. 378, 2001.

[9] S. Song, H. J. Kim, J. Y. Yoo, J. H. Yi, W. S. Kim, N. I. Lee, K. Fujihara, H. K.

Kang, and J. T. Moon, “On the gate oxide scaling of high performance CMOS transistors,” IEDM Tech. Dig., p. 55, 2001.

[10] V. Misra, H. Lazar, Z. Wang, Y. Wu, H. Niimi, G. Lucovsky, J. J. Wortman, and J. R. Hauser, “Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition,” J. Vac. Sci. Technol.

B, vol. 17, p. 1836, 1999.

[11] S. M. Sze, Physics of Semiconductor Devices, Wiley, New York, 1981.

[12] K. Natori, “Ballistic metal-oxide-semiconductor field effect transistor,” J.

Appl. Phys., vol. 76, p. 4879, 1994.

[13] P. M. Solomon and S. E. Laux, “The ballistic FET: Design, capacitance and speed limit,” IEDM Tech. Dig., p. 95, 2001.

[14] Z. Ren, R. Venugopal, S. Datta, M. Lundstrom, D. Jovanovic, and J. G.

Fossum, “The ballistic nanotransistor: A simulation study,” IEDM Tech. Dig., p. 715, 2000.

[15] F. Assad, Z. Ren, D. Vasileska, S. Datta, and M. S. Lundstrom, “On the performance limits for Si MOSFET’s: A theoretical study,” IEEE Trans.

Electron Devices, vol. 47, p. 232, 2000.

[16] L. Chang, S. Tang, T. J. King, J. Bokor, and C. Hu, “Gate length scaling and threshold voltage control of double-gate MOSFETs,” IEDM Tech. Dig., p. 719, 2000.

[17] J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A.

Fitzgerald, and D. A. Antoniadis, “Strained silicon MOSFET technology,”

IEDM Tech. Dig., p. 23, 2002.

[18] H. C. H. Wang, Y. P. Wang, S. J. Chen, C. H. Ge, S. M. Ting, J. Y. Kung, R.

L. Hwang, H. K. Chiu, L. C. Sheu, P. Y. Tsai, L. G. Yao, S. C. Chen, H. J.

Tao, Y. C. Yeo, W. C. Lee, and C. Hu, “Substrate-strained silicon technology:

Process integration,” IEDM Tech. Dig., p. 61, 2003.

[19] T. Sanuki, A. Oishi, Y. Morimasa, S. Aota, T. Kinoshita, R. Hasumi, Y.

Takegawa, K. Isobe, H. Yoshimura, M. Iwai, K. Sunouchi, and T. Noguchi,

“Scalability of strained silicon CMOSFET and high drive current enhancement in the 40nm gate length technology,” IEDM Tech. Dig., p. 65, 2003.

[20] I. Aberg, C. N. Chléirigh, O. O. Olubuyide, X. Duan, and J. L. Hoyt, “High electron and hole mobility enhancements in thin-body strained Si/strained SiGe/strained Si Heterostructures on insulator,” IEDM Tech. Dig., p. 173, 2004.

[21] Y. C. Yeo, Q. Lu, T. J. King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro, and J. Sakai, “Enhanced performance in sub-100 nm CMOSFETs using strained epitaxial silicon-germanium,” IEDM Tech. Dig., p. 753, 2000.

[22] K. Uchida1, R. Zednik, C. H. Lu, H. Jagannathan, J. McVittie, P. C. Mclntyre, and Y. Nishi, “Experimental study of biaxial and uniaxial strain effects on carrier mobility in bulk and ultrathin-body SOI MOSFETs,” IEDM Tech. Dig., p. 229, 2004.

[23] H. Iwai, S. Ohmi, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J.

Taguchi, H. Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama, and Y.

Yoshihara, “Advanced gate dielectric materials for sub-100 nm CMOS,”

IEDM Tech. Dig., p. 625, 2002.

[24] J. C. Lee, H. J. Cho, C. S. Kang, S. J. Rhee, Y. H. Kim, R. Choi, C. Y. Kang, C. H. Choi, and M. Akbar, “High-K dielectrics and MOSFET characteristics,”

IEDM Tech. Dig., p. 95, 2003.

[25] H. Y. Yu, J. F. Kang, J. D. Chen, C. Ren, Y. T. Hou, S. J. Whang, M. F. Li, D.

S. H. Chan, K. L. Bera, C. H. Tung, A. Du, D. L. Kwong, “Thermally robust high quality HfN/HfO2 gate stack for advanced CMOS devices,” IEDM Tech.

Dig., p. 99, 2003.

[26] T. Watanabe, M. Takayanagi, K. Kojima, K. Sekine, H. Yamasaki, K. Eguchi, K. Ishimaru, and H. Ishiuchi, “Impact of Hf concentration on performance and reliability for HfSiON-CMOSFET,” IEDM Tech. Dig., p. 507, 2004.

[27] Q. Xu, H. Qian, Z. Han, G. Lin, M. Liu, B. Chen, C. Zhu, and D. Wu,

“Characterization of 1.9- and 1.4-nm ultrathin gate oxynitride by oxidation of nitrogen-implanted silicon substrate,” IEEE Trans. Electron Devices, vol. 51, p. 113, 2004.

[28] M. L. Green, T. Sorsch, L. C. Feldman, W. N. Lennard, E. P. Gusev, E.

Garfunkel, H. C. Lu, and T. Gustafsson, “Ultrathin SiOxNy by rapid thermal heating of silicon in N2 at T = 760-1050 °C,” Appl. Phys. Lett., vol. 71, p.

2978, 1997.

[29] I. J. R. Baumvol, F. C. Stedile, J. J. Ganem, I. Trimaille, and S. Rigo,

“Thermal nitridation of SiO2 with ammonia,” J. Electrochem. Soc., vol. 143, p.

2938, 1996.

[30] H. Huang, W. Ting, D. L. Kwong, and J. Lee, “Electrical and reliability characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal processing in N2O,” IEDM Tech. Dig., p. 421, 1990.

[31] P. J. Tobin, Y. Okada, S. A. Ajuria, V. Lakhotia, W. A. Feil, and R. I. Hedge,

“Furnace formation of silicon oxynitride thin dielectrics in N2O,” J. Appl.

Phys., vol. 75, p. 1811, 1994.

[32] Y. Okada, P. J. Tobin, and S. A. Ajuria, “Furnace growth silicon oxynitrides using NO,” IEEE Trans. Electron Devices, vol. 41, p. 1608, 1994.

[33] M. Bhat, J. Kim, J. Yan, G. W. Yoon, K. Han, and D. L. Kwong, “MOS characteristics of ultrathin NO-grown oxynitrides,” IEEE Electron Device Lett., vol. 15, p. 421, 1994.

[34] Y. C. Yeo, Q. Lu, W. C. Lee, T. J. King, C. Hu, X. Wang, X. Guo, and T. P. Ma,

“Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric,” IEEE Electron Device Lett., vol. 21, p. 116, 2000.

[35] G. Lucovsky, “Ultra-thin nitrided gate dielectrics: Plasma processing, chemical characterization, performance, and reliability,” IBM J. Res. Develop., vol. 43, p. 301, 1999.

[36] S. F. Ting, Y. K. Fang, C. H. Chen, C. W. Yang, W. T. Hsieh, J. J. Ho, M. C. Yu, S. M. Jang, C. H. Yu, M. S. Liang, S. Chen, and R. Shih, “The effect of remote plasma nitridation on the integrity of the ultrathin gate dielectric films in 0.13 µm CMOS technology and beyond,” IEEE Electron Device Lett., vol. 22, p.

327, 2001.

[37] H.-H. Tseng, Y. Jeon, P. Abramowitz, T. -Y. Luo, L. Hebert, J. J. Lee, J. Jiang, P. J. Tobin, G. C. F. Yeap, M. Moosa, J. Alvis, S. G. H. Anderson, N. Cave, T.

C. Chua, A. Hegedus, G. Miner, J. Jeon, and A. Sultan, “Ultra-thin decoupled plasma nitridation (DPN) oxynitride gate dielectric for 80-nm advanced technology,” IEEE Electron Device Lett., vol. 23, p. 704, 2002.

[38] T. Hori, H. Iwasaki, and K. Tsuji, “Electrical and physical properties of ultrathin reoxidized nitrided oxides prepared by rapid thermal processing,”

IEEE Trans. Electron Devices, vol. 36, p. 340, 1989.

[39] Y. Shi, X. Wang, and T. P. Ma, “Tunneling leakage current in ultrathin (<4 nm) nitride/oxide stack dielectrics,” IEEE Electron Device Lett., vol. 19, p.

388, 1998.

[40] C. G. Parker, G. Lucovsky, and J. R. Hauser, “Ultrathin oxide-nitride gate dielectric MOSFET’s,” IEEE Electron Device Lett., vol. 19, p. 106, 1998.

[41] H. Yang and G. Lucovsky, “Integration of ultrathin (1.6 ~ 2.0 nm) RPECVD oxynitride gate dielectrics into dual poly-Si gate submicron CMOSFETs,”

IEDM Tech. Dig., p. 245, 1999.

[42] C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. F. Wang, Y. M.

Lin, M. C. Yu, S. C. Chen, C. H. Yu, and M. S. Liang, “High-quality ultrathin (1.6 nm) nitride/oxide stack gate dielectrics prepared by combining remote plasma nitridation and LPCVD technologies,” IEEE Electron Device Lett., vol.

22, p. 260, 2001.

[43] J. E. Chung, P. K. Ko, and C. Hu, “A Model for hot-electron-induced MOSFET linear-current degradation based on mobility reduction due to interface-state generation,” IEEE Trans. Electron Devices, vol. 38, no. 6, p.

1362, June 1991.

[44] K. Umeda and K. Taniguchi, “Hot-electron-induced quasibreakdown of thin gate oxides,” J. Appl. Phys., vol. 82, p. 297, 1997.

[45] T. Hori and H. Iwasaki, “Improved hot-carrier immunity in submicrometer MOSFET’s with reoxidized nitrided oxides prepared by rapid thermal processing,” IEEE Electron Device Lett., vol. 10, p. 64, 1989.

[46] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, and H. Iwai, “An improvement of hot-carrier reliability in the stacked nitride-oxide gate n- and p-MISFET’s,” IEEE Trans. Electron Devices, vol. 42, no. 4, p. 704, April 1995.

[47] A. B. Joshi, and D. L. Kwong, “Excellent immunity of GIDL to hot-electron stress in reoxidized nitrided gate oxide MOSFET’s,” IEEE Electron Device Lett., vol. 13, p. 47, 1992.

[48] M. T. Takagi and Y. Toyoshima, “Importance of Si-N atomic configuration at the Si/oxynitride interfaces on the performance of scaled MOSFETs,” IEDM Tech. Dig., p. 575, 1998.

[49] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, and H. Iwai, “Electrical characteristics of rapid thermal nitrided-oxide gate n- and p-MOSFET’s with less than 1 atom% nitrogen concentration,” IEEE Trans. Electron Devices, vol.

41, p. 546, 1994.

[50] Y. Nemirovsky, I. Brouk, and C. G. Jakobson, “1/f noise in CMOS transistors for analog applications,” IEEE Trans. Electron Devices, vol. 48, p. 921, 2001.

[51] Z. J. Ma, Z. H. Liu, Y. C. Cheng, P. K. Ko, and C. Hu, “New insight into high-field mobility enhancedment of nitrided-oxide N-MOSFET’s based on noise measurement,” IEEE Trans. Electron Devices, vol. 41, p. 2205, 1994.

[52] H. Wong and Y. C. Cheng, “Gate dielectric-dependent flicker noise in metal-oxide-semiconductor transistors,” J. Appl. Phys., vol. 67, p. 863, 1990.

[53] K. K. Hung, P. K. Ko, C. Hu, and Y. C. Cheng, “A unified model for the flicker noise in metal-oxide-semiconductor field-effect transistors,” IEEE Trans. Electron Devices, vol. 37, p. 654, 1990.

[54] R. People, “Physics and applications of GexSi1-x/Si strained-layer heterostructures,” IEEE J. of Quantum Electronics, vol. QE-22, p. 1696, 1986.

[55] M. Shima, T. Ueno, T. Kumise, H. Shido, T. Sakuma, and S. Nakamura,

“<100> channel strained-SiGe p-MOSFET with enhanced hole mobility and lower parasitic resistance,” Symp. VLSI Tech. Dig., p. 94, 2002.

[56] T. J. King, J. P. McVittie, K. C. Saraswat, and J. R. Pfiester, “Electrical

properties of heavily doped polycrystalline silicon-germanium films,” IEEE Trans. Electron Devices, vol. 41, p. 228, 1994.

[57] J. C. Bean, L. C. Feldman, A. T. Fiory, S. Nakahara, and I. K. Robinson,

“GexSi1-x/Si strained-layer superlattice grown by molecular beam epitaxy,” J.

Vac. Sci. Technol. A, vol. 2, p. 346, 1984.

[58] A. T. Foiry, J. C. Bean, R. Hull, and S. Nakahara, “Thermal relaxation of metalstable strained-layer GexSi1-x/Si epitaxy,” Phys. Rev. B, vol. 13, p. 4063, 1985.

[59] F. K. LeGoues, R. Rosenberg, T. Nguyen, F. Himpsel, and B. S. Meyerson,

“Oxidation studies of SiGe,” J. Appl. Phys., vol. 65, p. 1724, 1989.

[60] Y. C. Yeo, Q. Lu, T. J. King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro, and J. Sakai, “Enhanced performance in sub-100 nm CMOSFETs using strained epitaxial silicon-germanium, “IEDM Tech. Dig., p. 753, 2000.

[61] W. Lu, R. Hammond, S. J. Koester, X. W. Wang, J. O. Chu, T. P. Ma, and I.

Adesida, “High performance 0.15 µm self-aligned SiGe p-MOS-MODFET’s with SiN gate dielectric,” IEDM Tech. Dig., p. 577, 1999.

[62] S. Tsujikawa, T. Mine, Y. Shimamoto, O. Tonimura, R. Tsuchiya, K. Ohnishi, H. Hamamura, K. Torii, T. Onai, and J. Yugami, “An ultra-thin silicon nitride gate dielectric with oxygen-enriched interface (OI-SiN) for CMOS with EOT of 0.9 nm and beyond,” Symp. VLSI Tech. Dig., p. 202, 2002.

[63] X. Guo, X. Wang, Z. Luo, T. P. Ma, and T. Tamagawa, “High quality ultra-thin (1.5 nm) TiO2/Si3N4 gate dielectric for deep sub-micron CMOS technology,”

IEDM Tech. Dig., p. 137, 1999.

[64] H. F. Luan, S. J. Lee, C. H. Lee, S. C. Song, Y. L. Mao, Y. Senzaki, D.

Roberts, and D. L. Kwong, “High quality Ta2O5 gate dielectrics with Tox,eq<10Å,” IEDM Tech. Dig., p. 141, 1999.

[65] D. A. Buchanan, E. P. Gusev, E. Cartier, H. O. Schmidt, K. Rim, M. A.

Gribelyuk, A. Mocuta, A. Ajmera, M. Copel, S. Guha, N. Bojarczuk, A.

Callegari, C. D'Emic, P. Kozlowski, K. Chan, R. J. Fleming, P. C. Jamison, J.

Brown, and R. Arndt, “80 nm Poly-silicon gated n-FETs with ultra-thin Al2O3

gate dielectric for ULSI applications,” IEDM Tech. Dig., p. 223, 2000.

[66] W. J. Qi, R. Nieh, B. H. Lee, L. Kang, Y. Jeon, K. Onishi, T. Ngai, S.

Banerjee, and J. C. Lee, “MOSCAP and MOSFET characteristics using ZrO2

gate dielectric deposited directly on Si,” IEDM Tech. Dig., p. 145, 1999.

[67] B. H. Lee, L. Kang, W. J. Qi, R. Nieh, Y. Jeon, K. Onishi, and J. C.Lee,

“Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application,” IEDM Tech. Dig., p. 133, 1999.

[68] Y. H. Wu, M. Y. Yang, A. Chin, W. J. Chen, and C. M. Kwei, “Electrical characteristics of high quality La2O3 gate dielectric with equivalent oxide thickness of 5 Å,” IEEE Electron Device Lett., vol. 21, p. 341, 2000.

[69] L. Manchanda and M. Gurvitch, “Yttrium oxide/silicon dioxide: A new dielectric structure for VLSI/ULSI circuits,” IEEE Electron Device Lett., vol.

9, p. 180, 1988.

[70] H. J. Osten, J. P. Liu, P. Gaworzewski, E. Bugiel, and P. Zaumseil, “High-k gate dielectrics with ultra-low leakage current based on praseodymium oxide,”

IEDM Tech. Dig., p. 653, 2000.

[71] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics:

Current status and materials properties considerations,” J. Appl. Phys., vol. 89, p. 5243, 2001.

[72] K. J. Hubbard and D. G. Schlom, “Thermodynamic stability of binary oxides in contact with silicon,” J. Mater. Res., vol. 11, p. 2757, 1996.

[73] S. Pidin, Y. Morisaki, Y. Sugita, T. Aoyama, K. Irino, T. Nakamura, and T.

Sugii, “Low standby power CMOS with HfO2 gate oxide for 100-nm generation,” Symp. VLSI Tech. Dig., p. 28, 2002.

[74] M. Koyama, A. Kaneko, T. Ino, M. Koike, Y. Kamata, R. Iijima, Y. Kamimuta, A. Takashima, M. Suzuki, C. Hongo, S. Inumiya, M. Takayanagi, and A.

Nishiyama, “Effects of nitrogen in HfSiON gate dielectric on the electrical and thermal characteristics,” IEDM Tech. Dig., p. 849, 2002.

[75] J. C. Lee, H. J. Cho, C. S. Kang, S. Rhee, Y. H. Kim, R. Choi, C. Y. Kang, C.

Choi, and M. Abkar, “High-K dielectrics and MOSFET characteristics,” IEDM Tech. Dig., p. 95, 2003.

[76] M. Koike, T. Ino, Y. Kamimuta, M. Koyama, Y. Kamata, M. Suzuki, Y. Mitani, A. Nishiyama, and Y. Tsunashima, “Effect of Hf-N bond on properties of thermally stable amorphous HfSiON and applicability of this material to sub-50nm technology node LSIs,” IEDM Tech. Dig., p. 107, 2003.

[77] L. Pantisano, E. Cartier, A. Kerber, R. Degraeve, M. Lorenzini1, M.

Rosmeulen, G. Groeseneken, and H. E. Maes, “Dynamics of threshold voltage instability in stacked high-k dielectrics: Role of the interfacial oxide,” Symp.

VLSI Tech. Dig., p. 28, 2002.

[78] W. Y. Loh, B. J. Cho, M. S. Joo, M. F. Li, D. S. H. Chan, S. Mathew, and D. L.

Kwong, “Analysis of charge trapping and breakdown mechanism in high-k dielectrics with metal gate electrode using carrier separation,” IEDM Tech.

Dig., p. 927, 2003.

[79] R. Choi, C. S. Kang, B. H. Lee, K. Onishi, R. Nieh, S. Gopalan, E.

Dharmarajan, and J. C. Lee, “High-quality ultra-thin HfO2 gate dielectric MOSFETs with TaN electrode and nitridation surface preparation,” Symp.

VLSI Tech. Dig., p. 15, 2001.

[80] Y. Kim, C. Lim, C. D. Young, K. Matthews, J. Barnett, B. Foran, A. Agarwal,

G. A. Brown, G. Bersuker, P. Zeitzoff, M. Gardner, R. W. Murto, L. Larson, C.

Metzner, S. Kher, and H. R. Huff, ”Conventional poly-Si gate MOS-transistors with a novel ultra-thin Hf-oxide layer,” Symp. VLSI Tech. Dig., p. 167, 2003.

Chapter 2

Hot-Electron-Induced Electron Trapping in 0.13 µ m nMOSFETs with Ultra-Thin (EOT = 1.6 nm)

Nitrided Gate Oxide

2.1 Introduction

The incorporation of nitrogen into the gate oxide has become a viable approach to reduce the intolerably high standby power consumption and overcome boron penetration when the gate oxide of continues to scale down below 2 nm because it provides a physically thicker film with the same electrical equivalent oxide thickness (EOT) for reducing tunneling current and simultaneously suppressing the boron diffusion effectively [1]–[4]. In the past, a variety of techniques including thermal nitridation [5]–[17], jet vapor deposition (JVD) nitride [18]–[22], remote plasma nitridation (RPN) [23]–[28], decoupled plasma nitridation (DPN) [29], [30], and stacked nitride/oxide [31]–[40] have been studied extensively and shown to be beneficial in alleviating the above-mentioned problems. Despite these encouraging results, however, the impacts of nitrogen incorporation on the long-term reliability characteristics of the fabricated devices are still controversial. Some groups have studied that the introduction of nitrogen did cause significant reliability degradation in terms of charge trapping [41]–[45] and hot-carrier immunity[46]–[56], while the others asserted the improved robustness against hot-carrier degradation by employing various nitridation techniques [8], [57]–[69]. In this study, we demonstrate that the

gate dielectrics of 1.6nm EOT with nitrogen incorporation in deep sub-micron nMOSFETs will become less robust against hot-electron striking even though it has been shown that the ultra-thin oxides in direct tunneling regime have better hot-carrier reliability for nMOSFETs [70]–[74]. Several nitrogen incorporation techniques are employed in this work, including stacked Si3N4/SiO2 (N/O), NO annealing, and plasma nitridation. It is clearly observed that 0.13 µm nMOSFETs with ultra-thin nitrided gate oxides (EOT = 1.6 nm) depict much severe degradations under both channel hot-electron (CHE) and substrate hot-electron (SHE) injections as compared to the device with conventional ultra-thin gate oxide. Meanwhile, it is found that the main mechanism which is responsible for the hot-electron degradation should be dominated by the electron trap generation rather than the interface state generation in the ultra-thin nitrided gate dielectrics after the long-term hot-electron stressing.

2.2 Device Fabrication and Characteristics Measurement

After active region definition, wafers were split to receive various gate dielectric preparation recipes, including thermal oxidation (i.e., control), Si3N4/SiO2 (N/O) stack, NO-annealed oxide, and thermal oxide with plasma nitridation. Thermal oxide was grown by wet oxidation in catalysis oxidation chamber at 800°C; Si3N4/SiO2 stack was made of a 0.8-nm bottom thermal oxide at 800°C followed nitridation in a high-density remote helicon-based nitrogen discharge at 450°C and a 1.4-nm high-quality nitride film deposited by low-pressure chemical-vapor-deposition (LPCVD) using gas sources of SiH4 and NH3 at 800°C; NO-annealed oxide was achieved with annealing the pre-grown thermal oxide at 800°C in the NO ambient;

Plasma nitridation was implemented with exposing the thermal oxide to a decouple

plasma source at 550°C, 20 mTorr, in N2 ambient followed by rapid thermal annealing (RTA) at 1050°C for 10 seconds. All of these gate dielectrics were carefully designed to have the same equivalent oxide thickness (EOT) of approximately 1.6 nm, as identified by C–V measurements. After deposition and patterning of a 150 nm un-doped polysilicon film, arsenic dopants were implanted with the energy of 5 KeV for simultaneous gate electrode doping and shallow source/drain junction formation. For dopant activation, all wafers were annealed by

plasma source at 550°C, 20 mTorr, in N2 ambient followed by rapid thermal annealing (RTA) at 1050°C for 10 seconds. All of these gate dielectrics were carefully designed to have the same equivalent oxide thickness (EOT) of approximately 1.6 nm, as identified by C–V measurements. After deposition and patterning of a 150 nm un-doped polysilicon film, arsenic dopants were implanted with the energy of 5 KeV for simultaneous gate electrode doping and shallow source/drain junction formation. For dopant activation, all wafers were annealed by

相關文件