• 沒有找到結果。

Although nitrogen incorporation into ultra-thin gate oxide could reduce gate leakage current effectively, the nitrided oxide will introduce the positive oxide charges to cause threshold voltage shift and transconductance degradation. Moreover, the nitrided oxides result in enhanced hot-electron-induced device degradations of the significant threshold voltage shift, the transconductance degradation, and the drain current reduction comparing with the conventional thermal gate oxide. In this study, we have also found that electron trap generation rather than the interface state generation should be the main hot-electron degradation mechanism for the deep sub-micron devices with ultra-thin nitrided gate oxide because of the observed results of the positive shift of threshold voltage, the insignificant variation of subthreshold swing, the reduction of gate leakage current, no significant slope changes of the Ib-Vcb

curves for DCIV measurement, and a small exponent value (n ~ 0.3) of the ∆Vt versus stress time plot. Therefore, the hot-electron-induced electron trapping in the ultra-thin nitrided gate oxide could eventually become a severe long-term reliability concern (CHE and SHE) for the sub-100nm technologies, and the plasma nitridation has be shown to be the most promising technique for the ultra-thin nitrided gate oxide applications.

References

[1] A. B. Joshi, J. Ahn, and D. L. Kwong, “Oxynitride gate dielectrics for p+-polysilicon gate MOS devices,” IEEE Electron Device Lett., vol. 14, p. 560, 1993.

[2] Z. L. Ma, J. C. Chen, H. Z. Liu, J. T. Krick, Y. C. Cheng, C. Hu, and P. K. Ko,

“Suppression of boron penetration in p+ polysilicon gate P-MOSFETs using low-temperature gate-oxide N2O anneal,” IEEE Electron Device Lett., vol. 15, p. 109, 1994.

[3] K. A. Ellis and R. A. Buhrman, “Boron diffusion in silicon oxides and oxynitrides,” J. Electrochem. Soc., vol. 145, p. 2068, 1998.

[4] T. Aoyama, S. Ohkubo, H. Tashiro, Y. Tada, K. Suzuki, and K. Horiuchi,

“Boron diffusion in nitrided-oxide gate dielectrics leading to high suppression of boron penetration in p-MOSFETs,” Jpn. J. Appl. Phys., vol. 37, p. 1244, 1998.

[5] M. L. Green, T. Sorsch, L. C. Feldman, W. N. Lennard, E. P. Gusev, E.

Garfunkel, H. C. Lu, and T. Gustafsson, “Ultrathin SiOxNy by rapid thermal heating of silicon in N2 at T = 760-1050 °C,” Appl. Phys. Lett., vol. 71, p.

2978, 1997.

[6] I. J. R. Baumvol, F. C. Stedile, J. J. Ganem, I. Trimaille, and S. Rigo,

“Thermal nitridation of SiO2 films in ammonia: The role of hydrogen,” J.

Electrochem. Soc., vol. 143, p. 1426, 1996.

[7] I. J. R. Baumvol, F. C. Stedile, J. J. Ganem, I. Trimaille, and S. Rigo,

“Thermal nitridation of SiO2 with ammonia,” J. Electrochem. Soc., vol. 143, p.

2938, 1996.

[8] H. Huang, W. Ting, D. L. Kwong, and J. Lee, “Electrical and reliability

characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal processing in N2O,” IEDM Tech. Dig., p. 421, 1990.

[9] H. Huang, W. Ting, B. Maiti, D. L. Kwong, and J. Lee, “Electrical characteristics of ultrathin oxynitride gate dielectrics prepared by rapid thermal oxidation of silicon in N2O,” Appl. Phys. Lett., vol. 57, p. 1010, 1990.

[10] K. A. Ellis and R. A. Buhrman, “Nitrous oxide (N2O) processing for silicon oxynitride gate dielectrics,” IBM J. Res. Develop., vol. 43, p. 287, 1999.

[11] P. J. Tobin, Y. Okada, S. A. Ajuria, V. Lakhotia, W. A. Feil, and R. I. Hedge,

“Furnace formation of silicon oxynitride thin dielectrics in N2O,” J. Appl.

Phys., vol. 75, p. 1811, 1994.

[12] R. I. Hedge, B. Maiti, and P. J. Tobin, “Growth and film characteristics of N2O and NO oxynitride gate and tunnel dielectrics,” J. Electrochem. Soc., vol. 144, p. 1081, 1997.

[13] Y. Okada, P. J. Tobin, and S. A. Ajuria, “Furnace growth silicon oxynitrides using NO,” IEEE Trans. Electron Devices, vol. 41, p. 1608, 1994.

[14] R. I. Hedge, P. J. Tobin, K. G. Reid, B. Maiti, and S. A. Ajuria, “Growth and surface chemistry of oxynitride gate dielectric using nitric oxide,” Appl. Phys.

Lett., vol. 66, p. 2882, 1995.

[15] E. P. Gusev, M. L. Green, H. C. Lu, E. Garfunkel, T. Gustafsson, D. Brasen, and W. N. Lennard, “Nitrogen engineering of ultrathin oxynitrides by a thermal NO/O2/NO process,” J. Appl. Phys., vol. 84, p. 2980, 1998.

[16] M. Bhat, J. Kim, J. Yan, G. W. Yoon, K. Han, and D. L. Kwong, “MOS characteristics of ultrathin NO-grown oxynitrides,” IEEE Electron Device Lett., vol. 15, p. 421, 1994.

[17] E. P. Gusev, H. C. Lu, T. Gustafsson, E. Garfunkel, M. L. Green, and D.

Brasen, “The composition of ultrathin oxynitrides thermally grown in NO,” J.

Appl. Phys., vol. 82, p. 896, 1997.

[18] X. W. Wang, Y. Shi, T. P. Ma, G. J. Cui, T. Tamagawa, B. L. Halpern, and J.

J. Schmitt, “Extending gate dielectric scaling limit by use of nitride or oxynitride,” Symp. VLSI Tech. Dig., p. 109, 1995.

[19] T. P. Ma, “Gate dielectric properties of silicon nitride films formed by jet vapor deposition,” Appl. Surf. Sci., vol. 117/118, p. 259, 1997.

[20] T. P. Ma, “Making silicon nitride film a viable gate dielectric,” IEEE Trans.

Electron Devices, vol. 45, p. 680, 1998.

[21] Y. C. Yeo, Q. Lu, W. C. Lee, T. J. King, C. Hu, X. Wang, X. Guo, and T. P. Ma,

“Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric,” IEEE Electron Device Lett., vol. 21, p. 116, 2000.

[22] S. Mahapatra, V. R. Rao, B. Cheng, M. Khare, C. D. Parikh, J. C. S. Woo, and J. M. Vasi, “Performance and hot-carrier reliability of 100 nm channel length jet vapor deposited Si3N4 MNSFETs,” IEEE Trans. Electron Devices, vol. 48, p. 679, 2001.

[23] G. Lucovsky, H Niimi, Y. Wu, C. R. Parker, and J. R. Hauser, “Optimization of nitrided gate dielectrics by plasma-assisted and rapid thermal processing,” J.

Vac. Sci. Technol. A, vol. 16, p. 1721, 1998.

[24] G. Lucovsky, “Ultra-thin nitrided gate dielectrics: Plasma processing, chemical characterization, performance, and reliability,” IBM J. Res. Develop., vol. 43, p. 301, 1999.

[25] H. Yang and G. Lucovsky, “Integration of ultrathin (1.6 ~ 2.0 nm) RPECVD oxynitride gate dielectrics into dual poly-Si gate submicron CMOSFETs,”

IEDM Tech. Dig., p. 245, 1999.

[26] C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. C. Yu, T. H.

Hou, M. F. Wang, S. C. Chen, C. H. Yu, and M. S. Liang, “Thermally-

enhanced remote plasma nitrided ultrathin (1.65 nm) gate oxide with excellent performances in reduction of leakage current and boron diffusion,” IEEE Electron Device Lett., vol. 22, p. 378, 2001.

[27] S. F. Ting, Y. K. Fang, C. H. Chen, C. W. Yang, W. T. Hsieh, J. J. Ho, M. C. Yu, S. M. Jang, C. H. Yu, M. S. Liang, S. Chen, and R. Shih, “The effect of remote plasma nitridation on the integrity of the ultrathin gate dielectric films in 0.13 µm CMOS technology and beyond,” IEEE Electron Device Lett., vol. 22, p.

327, 2001.

[28] C. H. Chen, Y. K. Fang, S. F. Ting, W. T. Hsieh, C. W. Yang, T. H. Hou, M.

C. Yu, T. L. Lee, S. C. Chen, C. H. Yu, and M. S. Liang, “Downscaling limit of equivalent oxide thickness in formation of ultrathin gate dielectric by thermal-enhanced remote plasma nitridation,” IEEE Trans. Electron Devices, vol. 49, p. 840, 2002.

[29] H. N. Al-Shareef, G. Bersuker, C. Lim, R. Murto, S. Borthakur, G. A. Brown, and H. R. Huff, “Plasma nitridation of very thin gate dielectrics,”

Microelectronic Engineering, vol. 59, p. 317, 2001.

[30] H.-H. Tseng, Y. Jeon, P. Abramowitz, T. -Y. Luo, L. Hebert, J. J. Lee, J. Jiang, P. J. Tobin, G. C. F. Yeap, M. Moosa, J. Alvis, S. G. H. Anderson, N. Cave, T.

C. Chua, A. Hegedus, G. Miner, J. Jeon, and A. Sultan, “Ultra-thin decoupled plasma nitridation (DPN) oxynitride gate dielectric for 80-nm advanced technology,” IEEE Electron Device Lett., vol. 23, p. 704, 2002.

[31] Y. Shi, X. Wang, and T. P. Ma, “Tunneling leakage current in ultrathin (<4 nm) nitride/oxide stack dielectrics,” IEEE Electron Device Lett., vol. 19, p.

388, 1998.

[32] Y. Wu and G. Lucovsky, “Ultrathin nitride/oxide (N/O) gate dielectrics for p+-polysilicon gated PMOSFETs prepared by a combined remote plasma

enhanced CVD/thermal oxidation process,” IEEE Electron Device Lett., vol.

19, p. 367, 1998.

[33] C. G. Parker, G. Lucovsky, and J. R. Hauser, “Ultrathin oxide-nitride gate dielectric MOSFET’s,” IEEE Electron Device Lett., vol. 19, p. 106, 1998.

[34] Y. Shi, X. Wang, and T. P. Ma, “Electrical properties of high-quality ultrathin nitride/oxide stack dielectric,” IEEE Trans. Electron Devices, vol. 46, p. 362, 1999.

[35] E. Ibok, K. Ahmed, M. Y. Hao, B. Ogle, J. J. Wortman, and J. R. Hauser, “Gate quality ultrathin (2.5 nm) PECVD deposited oxynitride and nitrided oxide dielectrics,” IEEE Electron Device Lett., vol. 20, p. 442, 1999.

[36] Y. Wu, Y. M. Lee, and G. Lucovsky, “1.6 nm oxide equivalent gate dielectrics using nitride/oxide (N/O) composites prepared by RPECVD/oxidation process,” IEEE Electron Device Lett., vol. 21, p. 116, 2000.

[37] Y. Wu, G. Lucovsky, and Y. M. Lee, “The performance and reliability of PMOSFETs with ultrathin silicon nitride/oxide stacked gate dielectrics with nitrided Si-SiO2 interfaces prepared by remote plasma enhanced CVD and post-deposition rapid thermal annealing,” IEEE Trans. Electron Devices, vol.

47, p. 1361, 2000.

[38] Z. Wang, C. G. Parker, D. W. Hodge, R. T. Croswell, N. Yang, V. Misra, and J.

R. Houser, “Effect of polysilicon gate type on the flatband voltage shift for ultrathin oxide-nitride gate stacks,” IEEE Electron Device Lett., vol. 21, p. 170, 2000.

[39] C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. F. Wang, Y. M.

Lin, M. C. Yu, S. C. Chen, C. H. Yu, and M. S. Liang, “High-quality ultrathin (1.6 nm) nitride/oxide stack gate dielectrics prepared by combining remote plasma nitridation and LPCVD technologies,” IEEE Electron Device Lett., vol.

22, p. 260, 2001.

[40] Q. D. M. Khosru, A. Nakajima, T. Yoshimoto, and S. Yokoyama, “Low thermal-budget ultrathin NH3-annealed atomic-layer-deposited Si-nitride/SiO2

stack gate dielectrics with excellent reliability,” IEEE Electron Device Lett., vol. 23, p. 179, 2002.

[41] T. Hori, H. Iwasaki, and K. Tsuji, “Charge-trapping properties of ultrathin nitrided oxides prepared by rapid thermal annealing,” IEEE Trans. Electron Devices, vol. 35, p. 904, 1988.

[42] Z. H. Liu, P. T. Lai, and Y. C. Cheng, “Characterization of charge trapping and high-field endurance for 15-nm thermally nitrided oxides,” IEEE Trans.

Electron Devices, vol. 38, p. 344, 1991.

[43] D. J. DiMaria and J. H. Stathis, “Trapping and trap creation studies on nitrided and reoxidized-nitrided silicon dioxide films on silicon,“ J. Appl. Phys., vol.

70, p. 1500, 1991.

[44] M. Alessandri, C. Clementi, B. Crivelli, G. Ghidini, F. Pellizzer, F. Martin, M.

Imai, and H. Ikegawa, “Nitridation impact on thin oxide charge trapping,”

Microelectronic Engineering, vol. 36, p. 211, 1997.

[45] M. K. Mazumder, A. Teramoto, J. Komori, M. Sekine, S. Kawazu, and Y.

Mashiko, “Effects of N distribution on charge trapping and TDDB characteristics of NO annealed wet oxide,” IEEE Trans. Electron Devices, vol.

46, p. 1121, 1999.

[46] A. Gupta, S. Pradhan, and K. P. Roenker, “Hot-carrier-induced degradation in nitrided oxide MOSFETs,” IEEE Trans. Electron Devices, vol. 36, p. 577, 1989.

[47] H. S. Momose, S. Kitagawa, K. Yamabe, and H. Iwai, “Hot carrier related phenomena for n- and p-MOSFETs with nitrided gate oxide by RTP,” IEDM

Tech. Dig., p. 267, 1989.

[48] A. B. Johsi and D. L. Kwong, “Comparison of neutral electron trap generation by hot-carrier stress in n-MOSFET's with oxide and oxynitride gate dielectrics,” IEEE Electron Device Lett., vol. 13, p. 360, 1992.

[49] T. Hori, T. Yasui, and S. Akamatsu, “Hot-carrier effects in MOSFET's with nitrided-oxide gate-dielectrics prepared by rapid thermal processing,” IEEE Trans. Electron Devices, vol. 39, p. 134, 1992.

[50] A. B. Johsi and D. L. Kwong, “Effects of AC hot carrier stress on N- and P-MOSFET’s with oxynitride gate dielectrics,” IEEE Trans. Electron Devices, vol. 41, p. 671, 1994.

[51] A. B. Johsi and D. L. Kwong, “Hot carrier effects on analog performance of N- and P-MOSFET's with oxynitride gate dielectrics,” IEEE Trans. Electron Devices, vol. 41, p. 1465, 1994.

[52] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, and H. Iwai, “Electrical characteristics of rapid thermal nitrided-oxide gate n- and p-MOSFET’s with less than 1 atom% nitrogen concentration,” IEEE Trans. Electron Devices, vol.

41, p. 546, 1994.

[53] M. Bhat, D. Wristers, J. Yan, L. K. Han, J. Fulford, D. L. Kwong,

“Performance and hot-carrier reliability of N- and P-MOSFETs with rapid thermally NO-nitrided SiO2 gate dielectrics,” IEDM Tech. Dig., p. 329, 1994.

[54] T. Matsuoka, S. Taguchi, H. Ohtsuka, K. Taniguchi, C. Hamaguchi, S.

Kakimoto, and K. Uda, “Hot-carrier-induced degradation of N2O-oxynitrided gate oxide NMOSFET’s,” IEEE Trans. Electron Devices, vol. 43, p. 1364, 1996.

[55] Y. Y. Chen, M. Gardner, J. Fulford, D. Wristers, A. B. Joshi, L. Chung, D. L.

Kwong, “Enhanced hot-hole degradation in P+-poly PMOSFETs with

oxynitride gate dielectrics,” Symp. VLSI Tech. Dig., p. 86, 1999.

[56] J. F. Zhang, H. K. Sii, Guido Groeseneken, and R. Degraeve, “Degradation of oxides and oxynitrides under hot hole stress,” IEEE Trans. Electron Devices, vol. 47, p. 378, 2000.

[57] W. Yang, R. Jayaraman, and C. G. Sodini, “Optimization of low-pressure nitridation/reoxidation of SiO2 for scaled MOS devices,” IEEE Trans.

Electron Devices, vol. 35, p. 935, 1988.

[58] T. Hori, H. Iwasaki, and K. Tsuji, “Electrical and physical properties of ultrathin reoxidized nitrided oxides prepared by rapid thermal processing,”

IEEE Trans. Electron Devices, vol. 36, p. 340, 1989.

[59] T. Hori and H. Iwasaki, “Improved hot-carrier immunity in submicrometer MOSFET’s with reoxidized nitrided oxides prepared by rapid thermal processing,” IEEE Electron Device Lett., vol. 10, p. 64, 1989.

[60] G. J. Dunn and S. A. Scott, “Channel hot-carrier stressing of reoxidized nitrided silicon dioxide,” IEEE Trans. Electron Devices, vol. 37, p. 1719, 1990.

[61] P. J. Wright, A. Kermani, and K. C. Saraswat, “Nitridation and post-nitridation anneals of SiO2 ultrathin dielectrics,” IEEE Trans. Electron Devices, vol. 37, p. 1836, 1990.

[62] H. Iwai, H. S. Momose, T. Morimoto, Y. Ozawa, and K. Yamabe,

“Stacked-nitride oxide gate MISFET with high hot-carrier-immunity,” IEDM Tech. Dig., p. 235, 1990.

[63] H. Hwang, W. Ting, D. L. Kwong, and J. Lee, “Improved reliability characteristics of submicrometer nMOSFET’s with oxynitride gate dielectric prepared by rapid thermal oxidation in N2O,” IEEE Electron Device Lett., vol.

12, p. 495, 1991.

[64] A. B. Joshi, and D. L. Kwong, “Excellent immunity of GIDL to hot-electron stress in reoxidized nitrided gate oxide MOSFET’s,” IEEE Electron Device Lett., vol. 13, p. 47, 1992.

[65] T. Hori, S. Akamatsu, and Y. Odake, “Deep-submicrometer CMOS technology with reoxidized or annealed nitrided-oxide gate dielectrics prepared by rapid thermal processing,” IEEE Trans. Electron Devices, vol. 39, p. 118, 1992.

[66] T. Hori, “Nitrided gate oxide CMOS technology for improved hot-carrier reliability,” Microelectronic Engineering, vol. 22, p. 245, 1993.

[67] H. S. Momose, T. Morimoto, Y. Ozawa, K. Yamabe, and H. Iwai, “An improvement of hot-carrier reliability in the stacked nitride/oxide gate n- and p-MISFET’s,” IEEE Trans. Electron Devices, vol. 42, p. 704, 1995.

[68] B. S. Doyle and A. Philipossian, “Role of nitridation/reoxidation of NH3-nitrided gate dielectrics on the hot-carrier resistance of CMOS transistors,” IEEE Electron Device Lett., vol. 18, p. 267, 1997.

[69] C.T. Liu, E.J. Lloyd, C.P. Chang, K.P. Cheung, J.I. Colonell, W.Y.C. Lai, R.

Liu, C.S. Pai, H. Vaidya, and J.T. Clemens, “A new mode of hot carrier degradation in 0.18µm CMOS technologies,” Symp. VLSI Tech. Dig., p. 176, 1998.

[70] H. S. Momose, M. Ono, T. Yoshitomi, T. Ohguro, S. I. Nakamura, M. Saito, and H. Iwai, “1.5 direct-tunneling gate oxide Si MOSFET’s,” IEEE Trans.

Electron Devices, vol. 43, p. 1233, 1996.

[71] T. Kuroi, S. Shimizu, S. Ogino, A. Teramoto, M. Shirahata, Y. Okumura, M.

Inuishi, and M. Miyoshi, “Sub-quarter-micron dual gate CMOSFETs with ultra-thin gate oxide of 2 nm,” Symp. VLSI Tech. Dig., p. 210, 1996.

[72] H. S. Momose, S. I. Nakamura, T. Ohguro, T. Yoshitomi, E. Morifuji, T.

Morimoto, Y. Katsumata, and H. Iwai, “A study of hot-carrier degradation in n- and p-MOSEFTs with ultra-thin gate oxides in the direct-tunneling regime,”

IEDM Tech. Dig., p. 453, 1997.

[73] H. S. Momose, S. I. Nakamura, Y. Katsumata, and H. Iwai, “Study of direct-tunneling gate oxides for CMOS applications,” Int. Symp. Plasma Process-Induced Damage, p. 30, 1998.

[74] Q. Xiang, G. Yeap, D. Bang, M. Song, K. Ahmed, E. Ibok, and M. R. Lin,

“Performance and reliability of sub-100nm MOSFETs with ultra thin direct tunneling gate oxides,“ Symp. VLSI Tech. Dig., p. 160, 1998.

[75] Y. Shi, X. Wang, and T. P. Ma, “Electrical properties of high-quality ultrathin nitride/oxide stack dielectrics,” IEEE Trans. Electron Devices, vol. 46, p. 362, 1999.

[76] S. C. Song, H. F. Luan, C. H. Lee, A. Y. Mao, S. J. Lee, J. Gelpey, S. Marcus, and D. L. Kwong, “Ultra thin high quality stack nitride/oxide gate dielectrics prepared by in-situ rapid thermal N2O oxidation of NH3-nitrided Si,” Symp.

VLSI Tech. Dig., p. 137, 1999.

[77] H. Yang, H. Niimi, J. W. Keister, G. Lucovsky, and J. E. Rowe, “The effects of interfacial sub-oxide transition regions and monolayer level nitridation on tunneling currents in silicon devices,” IEEE Electron Device Lett., vol. 21, p.

76, 2000.

[78] M. Fujiwara, M. Takayanagi, T. Shimizu, and Y. Toyoshima, “Extending gate dielectric scaling limit by NO oxynitride: Design and process issues for sub-100 nm technology,” IEDM Tech. Dig., p. 227, 2000.

[79] M. Togo, K. Watanabe, T. Yamamoto, N. Ikarashi, K. Shiba, T. Tatsumi, H.

Ono, and T. Mogami, “Low-leakage and highly-reliable 1.5 nm SiON gate-dielectric using radical oxynitridation for sub-0.1 µm CMOS,” Symp.

VLSI Tech. Dig., p. 116, 2000.

[80] T. M. Pan, T. F. Lei, H. C. Wen, and T. S. Chao, “Characterization of ultrathin oxynitride (18–21 Å) gate dielectrics by NH3 nitridation and N2O RTA treatment,” IEEE Trans. Electron Devices, vol. 48, p. 907, 2001.

[81] C. H. Chen, Y. K. Fang, C. W. Yang, S. F. Ting, Y. S. Tsair, M. F. Wang, T.

H. Hou, M. C. Yu, S. C. Chen, S. M. Jang, D. C. H. Yu, and M. S. Liang, “To optimize electrical properties of the ultrathin (1.6 nm) nitride/oxide gate stacks with bottom oxide materials and post-deposition treatment,” IEEE Trans.

Electron Devices, vol. 48, p. 2769, 2001.

[82] W. H. Lin, K. L. Pey, Z. Dong, S. Y.-M. Choi, M. S. Zhou, T. C. Ang, C. H.

Ang, W. S. Lau, and J. H. Ye, “Effects of post-deposition anneal on the electrical properties of Si3N4 gate dielectric,” IEEE Electron Device Lett., vol.

23, p. 124, 2002.

[83] Q. Xu, H. Qian, Z. Han, G. Lin, M. Liu, B. Chen, C. Zhu, and D. Wu,

“Characterization of 1.9- and 1.4-nm ultrathin gate oxynitride by oxidation of nitrogen-implanted silicon substrate,” IEEE Trans. Electron Devices, vol. 51, p. 113, 2004.

[84] T. Hori, H. Iwasaki, Y. Naito, and H. Esaki, “Electrical and physical characteristics of thin nitrided oxides prepared by rapid thermal nitridation,”

IEEE Trans. Electron Devices, vol. 34, p. 2238, 1987.

[85] X. Guo and T. P. Ma, “Tunneling Leakage Current in oxynitride: dependence on oxygen/nitrogen content,” IEEE Electron Device Lett., vol. 19, p. 207, 1998.

[86] C. T. Chen, F. C. Tseng, C. Y. Chang, and M. K. Lee, “Study of Electrical characteristics on thermally nitrided SiO2 (nitroxide) films,” J. Electrochem.

Soc., vol. 131, p. 875, 1984.

[87] P. Pan and C. Paquette, “Positive charge generation in thin SiO2 films during nitridation process,” Appl. Phys. Lett., vol. 47, p. 473, 1985.

[88] G. A. Ruggles and J. R. Monkowski, “An investigation of fixed charge buildup in nitrided oxides,” J. Electrochem. Soc., vol. 133, p. 787, 1986.

[89] T. Hori, Y. Naito, H. Iwasaki, and H. Esaki, “Interface states and fixed charges in nanometer-range thin nitrided oxides prepared byrapid thermal annealing,” IEEE Electron Device Lett., vol. 7, p. 669, 1986.

[90] M. S. C. Luo, P. V. G. Tsui, W. M. Chen, P. V. Gilbert, B. Maiti, A. R. Sitaram, and S. W. Sun, “A 0.25 µm CMOS technololy with 45 Å NO-nitrided oxide,”

IEDM Tech. Dig., p. 691, 1995.

[91] H. Niimi and G. Lucovsky, “Monolayer-level controlled incorporation of nitrogen at Si-SiO2 interfaces using remote plasma processing,” J. Vac. Sci.

Technol. A, vol. 17, p. 3185, 1999.

[92] T. Kusaka, A. Hiraiwa, K. Mukai, “Mobility degradation of nitrided oxide MISFET’s,” J. Electrochem. Soc., vol. 135, p. 166, 1988.

[93] M. A. Schmidt, F. L. Terry, Jr., B. P. Mathur, and S. D. Senturia, “Inversion layer mobility of MOSFET's with nitrided oxide gate dielectrics,” IEEE Trans.

Electron Devices, vol. 35, p. 1627, 1988.

[94] T. Hori, “Inversion layer mobility under high normal field in nitrided-oxide MOSFET’s,” IEEE Trans. Electron Devices, vol. 37, p. 2058, 1990.

[95] Z. J. Ma, Z. H. Liu, Y. C. Cheng, P. K. Ko, and C. Hu, “New insight into high-field mobility enhancedment of nitrided-oxide N-MOSFET’s based on noise measurement,” IEEE Trans. Electron Devices, vol. 41, p. 2205, 1994.

[96] M. T. Takagi and Y. Toyoshima, “Importance of Si-N atomic configuration at the Si/oxynitride interfaces on the performance of scaled MOSFETs,” IEDM Tech. Dig., p. 575, 1998.

[97] H. Iwai, H. S. Momose, S. Takagi, T. Morimoto, S. Kitagawa, S. Kambayashi, K. Yamabey, and S. Onga, “Analysis of ONO gate film effect on n- and p-MOSFET mobilities,” Symp. VLSI Tech. Dig., p. 131, 1990.

[98] H. S. Momose, T. Morimoto, K. Yamabey, and H. Iwai, “Relationship between mobility and residual-mechanical-stress as measured by Raman spectroscopy for nitrided-oxide-gate MOSFETs,” IEDM Tech. Dig., p. 65, 1990.

[99] T. Hori and H. Iwasaki, “Improved transconductance under high normal field in MOSFET’s with ultrathin nitrided oxides,” IEEE Electron Device Lett., vol.

10, p. 195, 1989.

[100] E. M. Vogel, W. L. Hill, V. Misra, P. K. McLarty, J. J. Wortman, J. R. Hauser, P.

Morfouli, G. Ghibaudo, and T. Ouisse, “Mobility behaviour of n-channel and p-channel MOSFETs with oxynitride gate dielectrics formed by low-pressure rapid thermal chemical vapor deposition,” IEEE Trans. Electron Devices, vol.

43, p. 753, 1996.

[101] T. P. Ma, “JVD silicon nitride and titanium oxide as advanced gate dielectrics,” Mat. Res. Soc. Symp. Proc., vol. 567, p. 73, 1999.

[102] M. Khare and T. P. Ma, “Transconductance in nitride-gate or oxynitride-gate transistors,” IEEE Electron Device Lett., vol. 20, p. 57, 1999.

[103] L. Su, S. Subbanna, E. Crabbé, P. Agnello, E. Nowak, R. Schulz, S. Rauch, H.

Ng, T. Newman, A. Ray, M. Hargrove, A. Acovic, J. Snare, S. Crowder, B.

Chen, J. Sun, and B. Davari,“ A high-performance 0.08 µm CMOS,” Symp.

VLSI Tech. Dig., p. 12, 1996.

[104] E. Li, E. Rosenbaum, J. Tao, G. C. F. Yeap, M. R. Lin, and P. Fang, “Hot carrier effects in nMOSFETs in 0.1µm CMOS technology,” Proc. Int.

Reliability Physics Symp., p. 253, 1999.

[105] C. W. Tasi, S. H. Gu, L. P. Chiang, and T. Wang, “Valence-band tunneling enhanced hot carrier degradation in ultra-thin oxide nMOSFETs,” IEDM Tech.

Dig., p. 139, 2000.

[106] B. W. Min, O. Zia, M. Celik, R. Widenhofer, L. Kang, S. Song, S. Gonzales, and M. Mendicino, “Hot carrier enhanced gate current and its impact on short channel nMOSFET reliability with ultra-thin gate oxides,” IEDM Tech. Dig., p.

873, 2001.

[107] S. J. Chen, T. C. Lin, D. K. Lo, J. J. Yang, S. S. Chung, T. Y. Kao, R. Y.

Shiue, C. J. Wang, and Y. K. Peng, “An improved interface characterization technique for a full-range profiling of oxide damage in ultra-thin gate oxide CMOS devices,” Proc. Int. Reliability Physics Symp., p. 203, 2003.

[108] N. Kimizuka, T. Yamamoto, and T. Mogami, “A new degradation scheme for direct-tunneling ultrathin gate dielectric,” Symp. VLSI Tech. Dig., p. 162, 1998.

[109] D. J. DiMaria and E. Cattier, “Mechanism for stress-induced leakage currents in thin silicon dioxide films,” J. Appl. Phys., vol. 78, p. 3883, 1995.

[110] S. Takagi, N. Yasuda, and A. Toriumi, “Experimental evidence of inelastic tunneling in stress-induced leakage current,” IEEE Trans. Electron Devices, vol. 46, p. 335, 1999.

[111] J. Wu, L. F. Registery, and E. Rosenbaumy, “Trap-assisted tunneling current through ultra-thin oxide,” Proc. Int. Reliability Physics Symp., p. 389, 1999.

[112] P. E. Nicollian, M. Rodder, D. T. Grider, P. Chen, R. M. Wallace, S. V.

Hattangady, “Low voltage stress-induced-leakage-current in ultrathin gate oxides,” Proc. Int. Reliability Physics Symp., p. 400, 1999.

[113] R. Yamada, J. Yugami, and M. Ohkura, “Experimental analysis of gate oxide Degradation−existence of neutral trap precursor, single and multiple trap- assisted-tunneling for SILC mechanism,” Proc. Int. Reliability Physics Symp.,

p. 65, 2000.

[114] W. Y. Loh, B. J. Cho, M. F. Li, D. S. H. Chan, C. H. Ang, J. Z. Zheng, and D.

L. Kwong, “Localized oxide degradation in ultrathin gate dielectric and its statistical analysis,” IEEE Trans. Electron Devices, vol. 50, p. 967, 2003.

[115] D. S. Ang, H. Liao, and C. H. Ling, “Nonlocal hot-electron injection as the mechanism for the predominant source-side gate oxide degradation in CHE-stressed deep submicrometer n-MOSFETs,” IEEE Electron Device Lett., vol. 25, p. 417, 2004.

[116] K. Kobayashi, A. Teramoto, and H. Miyoshi, “Origin of positive charge generated in thin SiO films during high-field electrical stress,” IEEE Trans.

Electron Devices, vol. 46, p. 947, 1999.

[117] N. Soin, J. F. Zhang, and G. Groeseneken, “MOSFETs reliability: electron trapping in gate dielectric,” Proc. Int. Conf. Semiconductor Electronics, p. 104, 2000.

[118] P. J. Chan, M. C. Poon, H. Wong, and C. W. Kok, “Electric characteristics of stressing for silicon oxynitride thin film,” Proc. Hong Kong Electron Devices Meeting, p. 47, 2002.

[119] Y. N. Morokov, V. A. Gritsenko, Y. N, Novikov, S. B. Xu, L. W. M. Lau, and R. W, M. Kwok, “Nature of traps in gate silicon oxynitride of MOS devices,”

Proc. Hong Kong Electron Devices Meeting, p. 58, 1999.

[120] H. Wong and V. A. Gritsenko, “Dielectric traps in amorphous silicon oxynitride,” Proc. Hong Kong Electron Devices Meeting, p. 132, 2001.

[121] T. H. Perng, C. H. Chien, C. W. Chen, H. C. Lin, C. Y. Chang, and T. Y.

Huang, “Enhanced negative substrate bias degradation in nMOSFETs with ultrathin plasma nitrided oxide,” IEEE Electron Device Lett., vol. 24, p. 333, 2003.

[122] P. Masson, J. L. Autran, and J. Brini, “On the Tunneling Component of Charge Pumping Current in Ultrathin Gate Oxide MOSFET’s,” IEEE Electron Device Lett., vol. 20, p. 92, 1999.

[123] M. Giannini, A. Pacelli, A. L. Lacaita, and L. M. Perron, “Effect of oxide tunneling on the measurement of MOS interface states,” IEEE Electron Device Lett., vol. 21, p. 405, 2000.

[124] C. E. Weintraub, E. Vogel, J. R. Hauser, N. Yang, V. Misra, J. J. Wortman, J.

Ganem, and P. Masson, “Study of low-frequency charge pumping on thin stacked dielectrics,” IEEE Trans. Electron Devices, vol. 48, p. 2754, 2001.

[125] D. Bauza, “Extraction of Si-SiO2 interface trap densities in MOS structures with ultrathin oxides,” IEEE Electron Device Lett., vol. 23, p. 658, 2002.

[125] D. Bauza, “Extraction of Si-SiO2 interface trap densities in MOS structures with ultrathin oxides,” IEEE Electron Device Lett., vol. 23, p. 658, 2002.

相關文件