• 沒有找到結果。

Chapter 2: Overview of Particulate Contamination and Adhesion:

2.3 Types and Composition Analysis of Particles

2.3.2 Analysis of Composition

Figure 2.3 shows the distribution of defects in zone A. 65% of the particles in zone A are larger than 1μm, these include big sheet particles and big peeling particles; which are mostly titanium (Ti) or titanium nitride (TiN). Another 35% of particles covered on the wafer are smaller than 0.5μm, and they are notable as small particles. These small particles contain both Ti and TiN. The reason Ti and TiN appear on the wafer edge is speculated to be due to the pattern damage from ugly dies during lithography and etching processes. Bottom electrodes that are made of Ti can be weak and easy to collapse.

As for Figure 2.4, defects in zone B are described. Mostly defect particles distributed in the wafer center are organic-like residues. They consist of carbon, oxygen, and some of them are fluoro-like. The reaction of 49% HF and BPSG is believed to form these kinds of carbon rich, silicon rich and fluorine rich residues. These residues are believed to be chemicals bonded with the surface of Ti and form strong van der wall forces.

20

Figure 2.3 Categorization and EDS analysis for defects at zone A.

Figure 2.4 Categorization and EDS analysis for defects at zone B (135 mm in radius from wafer center).

22

2.4 Effects of Particulate Contaminations on DRAM Device

Device characteristic degradation due to particles include pattern defects, degradation of the insulating film’s dielectric strength, and failures due to local masking during ion implantation and etching. Of these, the biggest cause of degradation is the pattern defect. Wafer yield loss is mostly determined by short and open circuits caused by particles generated during metallization [3].

2.4.1 Defect Impact on Wafer Yield Failure

Figure 2.5 (a) and (b) compare the direct impact of defects after the crown wet etch process on the wafer failure map. As in Figure 2.5 (a) the failure of Icc2standby current is coloured in pink; the notch orientation of lot A during 49%HF wet process is shown by an arrow pointing at the bottom of the tank (Figure 2.5 a): the wafer failure map shows exactly the same distribution as the defect inspection map. On the other hand, in lot B (Figure 2.5 b) the notch orientation is 180 degrees reversed to the top and both the defects map and wafer failure map rotates. Meanwhile, as observed from both Figure 2.5 (a) and (b), the coverage of defects near the bottom of the tank is proved to be denser compared to the top and wafer center. This is mainly due to the flow field close to the wafer guide and the frictions at the touch point between wafer guide and wafers.

Figure 2.6 is the analysis in wafer failure test. The SEM cross-section indicates that the particle defects appear before High-K dielectric deposition but after bottom TiN electrode formation. Thus, it is proofs that these particle defects comes from crown wet capacitor etch process from wet bench.

(a)

(b)

Figure 2.5 (a) Failure map versus in-line defect map of lot A; wafer notch pointed to bottom of wet bath tank during crown wet etch back process. (b) Failure map versus in-line defect map of lot B; wafer notch pointed to top of wet bath tank during crown wet etch back process.

24

(a) (b)

Figure 2.6 (a) Top view of particle defect induced capacitor short (b) Cross section of particle defects appear before high-K dielectric deposition and after bottom TiN electrode formation.

2.4.2 Summary of Yield Loss for Each Defect Categorization

All defects including pattern collapse, particles and residues after the crown wet etch process are taken into account the yield loss. 250 wafers together with defects and wafer yield data are compared and analyzed. The average yield loss is predicted. Table 2.2 summarizes the correlation of each defect type after crown wet etch to the yield loss. However, pattern collapse and penetration which caused 1 percent of yield loss will not be focused on this study. This study will focus generally on the particle removal ability: how to remove big sheet particles, residues, peeling particles and wafer edge cluster, small particles, that totally impact 2.2 percent of wafer yield loss will be evaluated.

26

Table 2.2 Summary of yield loss for crown wet etch defects.

2.5 Particle Adhesion in a Liquid Bath

To understand the particle detachment from the wafer surface, it is essential to understand the particle adhesion on the wafer. This section will briefly introduce three key parameters that contribute to the deposition and detachment of particles on the wafer surface. After the treatment of concentrated HF on the crown wet capacitor, hydrophobic surface is considered in the following discussion.

2.5.1 Zeta Potential

Particles dispersed or suspended in a liquid system are called colloids. Colloids typically acquire an electrical charge when immersed in a liquid medium [4]. Charge on a colloid is often measured in terms of a zeta potential, the potential at the shear plane between the colloid and the liquid. A typical charge distribution surrounding a colloid is sketched in Figure 2.7 [5]. Electrical potential at the colloid surface is maximum and decreases through the surrounding layers of excess positive ions until reaching the value of the bulk solution where equilibrium concentrations of positive and negative ions exist.

Relative motion of the colloid with respect to the solution divides the positive ion sheath into two regions: (i) the ion region near the charged colloid that moves with the colloid; and (ii) the diffuse region remote from the colloid which moves with the bulk liquid. The boundary between these two regions is the shear plane, and the potential at this shear plane is called zeta potential.

28

Figure 2.7 Electrostatic double layer around a particle [5].

(net)

In liquid, both the wafer surface and the particles acquire surface charge, which is characterized by zeta potential. It is independent of particle size but depends on the electrolyte pH: in acidic conditions (low pH) the zeta potential is positive, and in alkaline solution it tends to be negative, as shown in Figure 2.8. Like charges repel each other and opposite charges attract each other. Acid cleans, such as HF, which result in a positive zeta potential for most particles and a negative zeta potential for silicon surfaces, are therefore prone to particle adhesion [6].

Figure 2.8 Zeta potential: pH influences particle adhesion and removal [7].

2.5.2 Electric Double Layer Repulsion

The fundamental interactions occurring between particles and the wafer surface in solutions are the van der Waals force and the electrostatic force (the reciprocal action of an electrical double layer) [8].

30

If a negatively charged colloid is repelled from a negatively charged wafer surface, then it is the repulsive forces that dominate the interaction between them, no contact between them will take place and no deposition will occur. This interaction is called electrostatic double layer repulsion (EDR) [7].

The width of the diffuse layer depicted in Figure 2.7 depends on the ionic strength of the liquid surrounding the particle. At high concentration, the range of the region of excess positive charge is short because the particle charge can be balanced by only a small volume of liquid.

2.5.3 Van der Waals Attraction

Van der Waals attraction between molecules arises from an interaction between the electron clouds surrounding each molecule. The displacement in electron distributions induced by the presence of the other molecule introduces a dipolar electric force which is always attractive [9].

The entire reciprocal action between the particle and wafer is shown as the sum of the electrical double layer potential and the van der Waals potential; if it is positive, repulsion acts between the particle and wafer, and if it is negative, attraction. On the other hand, the electrical double layer in the vicinity of the charged suface changes according to the sphere of influence of the ion density in the solution. When the ion density in the solution increases, van der Waals attraction is superior to the electrical double layer repulsion, and particles are deposited.

Figure 2.9 shows the case in which the zeta potentials of the particle and the wafer

surface are the same sign. When the signs are different, the electrical double layer force and van der Waals force acts as an attraction, conditions which cause particles to deposit easily.

`

Figure 2.9 An example of a calculation of the reciprocal interaction between a particle and the wafer surface.

2.5.4 DLVO Theory

The interaction energy between two particles can be represented according to the DLVO theory of colloidal stability [10][11]. The DLVO theory of colloidal stability assumes that the coagulation behavior of particles depends mainly on the van der Waals interactions energy and on the double layer interaction energy. DLVO theory suggests that the stability of a particle in solution is dependent upon its total potential energy function VT. This theory recognizes that VT is the balance of several competing contributions:

32

(Equation 2-1)

Where VS is the potential energy due to the solvent, it usually only makes a marginal contribution to the total potential energy over the last few nanometers of separation.

Much more important is the balance between VA and VR, these are the attractive and repulsive contributions. They potentially are much larger and operate over a much larger distance.

(Equation 2.2)

Where A is the Hamaker constant and D is the particle separation. The repulsive potential VR is a far more complex function.

(Equation 2.3)

where is the particle radius, is the solvent permeability, is a function of the ionic composition and is the zeta potential.

DLVO theory suggests that the stability of a colloidal system is determined by the sum of these van der Waals attractive (VA) and electrical double layer repulsive (VR) forces that exist between particles as they approach each other due to the Brownian motion they are undergoing. This theory proposes that an energy barrier resulting from the repulsive force prevents two particles approaching one another and adhering together (Figure 2.10). But if the particles collide with sufficient energy to overcome

that barrier, the attractive force will pull them into contact where they adhere strongly and irreversibly together. Therefore, if the particles have a sufficiently high repulsion, the dispersion will resist flocculation and the colloidal system will be stable. However, if a repulsion mechanism does not exist then flocculation or coagulation will eventually take place.

In certain situations (e.g. in high concentration HF), there is a possibility of a

“secondary minimum” where a much weaker and potentially reversible adhesion between particles exists together (Figure 2.11). These weak forces are sufficiently stable, and can’t be broken up by Brownian motion, but may dissociate under an externally applied force such as vigorous agitation.

Figure 2.10 Schematic diagram of variation of free energy with particle separation according to DLVO theory. The net energy is given by the sum of the double layer repulsion and the van der Waals attractive forces that the particles experience as they approach one another.

34

Figure 2.11 Schematic diagram of the variation of free energy with particle separation at higher salt concentrations showing the possibility of a secondary minimum.

2.6 Conclusions

From the defect source analysis result, it is proved that the root cause of most capacitor loop electrical characteristic failure is due to crown wet etch particle defects.

And these defects are mainly big sheet particles which are Ti- rich; and polymer residues which are carbon-rich and silicon-rich. Thus, a cleaning process method to remove these particles after the crown wet capacitor process will be investigated, and will be introduced in the next two chapters.

Section 2.5 introduced how these particles are attached in the HF liquid bath. The zeta potential is used to explain the particles adhesion and removal from the wafer surfaces.

The DLVO theory, a combination of both van der Waals forces and electric double layer repulsion, guided the experimental methods chosen in the next chapter.

References

1. Hiroshi M., Akinobu T., Hitoshi M., Senri O., Kenichi M., “High-Performance Wet Cleaning Technology”, Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing, Ed. 2006, USA.

2. T. Hattori, Sony, "Contamination Control: Problems and Prospects", Solid State Technology , vol.33, no.7, pp. S1-S8, 1990.

3. Jun Sugiura, "Influence of Contaminants on Device Characteristics", Ultraclean Surface Processing of Silicon Wafers, Springer, Ed. 1998, Japan.

4. K.Werner, “Handbook of semiconductor wafer cleaning technology: science, technology, and applications”, Noyes Publications, Ed. 1993, USA.

5. Ranade, M.B., “Adhesion and removal of fine particles on surfaces”, Aerosol Science & Technology, volume 9, pp.179-191, 1987.

6. Franssila, S., “Introduction to microfabrication”, J.Wiley, Ed. 2004, USA.

7. M. Itano, F.W. Kern, Jr., I. Kawanabe, M. Miyashita, R.W. Rosenberg, and T.

Ohmi, “ Particle removal from silicon wafer surface in wet cleaning process”, IEEE transactions on Semiconductor Manufacturing, Volume 6, issue 3, pp.258-267, 1993.

8. M. Itano, T. Kezuka, “Particle adhesion and removal on wafer surfaces in RCA cleaning”, Ultraclean Surface Processing of Silicon Wafers, Springer, Ed. 1998, Japan.

9. D.S. Rimai, L.P. Demejo and R.C. Bowen, “Mechanics of particle adhesion”, Fundamentals of Adhesion and Interfaces, pp.1-23, 2004.

10. B.V. Derjaguin and L.D Landau, “Theory of the stability of strongly charged hydrophobic sols and of the adhesion of strongly charged particles in solutions of electrolytes”, Acta Physicochim USSR, Volume:14, pp. 633, 1941.

36

11. E.J.W. Verwey and J.T.G. Overbeek, “Theory of the Stability of Hydrophobic Colloids.”, Amsterdam, Elsevier, pp. 118, 1948.

12. C. Ruggiero, M. Mantelli, A.Curtis, S.Zhang, P. Rolfe, “A computer model of the adhesion behaviour of particles under the influence of DLVO and hydrophobic interactions”, Proceedings of the 20th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, vol 6, pp.2838-2841, 1998.

Chapter 3: Process Parameters and Analysis Methods

As stated in chapter 2, particulate defects with a size bigger than 0.5 µm prove to have a direct yield loss on 68nm generation DRAM device. These kinds of particulate defects are in fact a consequence of a variation in surface properties and a result of a series of process steps. A cleaning process has to be performed in order to remove these particles. How these particles can be removed is a worthwhile study, since the conventional methods of intensive megasonic irradiation for contaminant de-absorption and for etching of the underlying film by as much as several nanometers can be no longer applied. This is because pattern collapses and dimensional fluctuation due to the etching have become critical [1]. Therefore, a series of cleaning experiments which comprise of single wafer equipment and batch type spray process equipment were undergone in order to get a clean surface after the capacitor crown wet process.

3.1 Processing Tools and Steps

The fabrication of DRAM devices encompassed a number of treatments, such as film deposition, photolithography, cleaning and etching steps. The facility included in this study is a Class-100 clean room (Figure 3.1). A Class-100 clean room maintains less than one hundred particles larger than 0.5 micron meter in each cubic foot of air space.

The process step to be investigated will focus on the wet cleaning step after the crown wet capacitor formation. It is essential yet difficult to remove the residues and defects from the bottoms of cylinder holes as well as from the wafer surface. Otherwise, the

38

gap fill of the upper capacitor electrode will be unstable, resulting in both performance and functional yield losses [2,3] . To be compatible with this study, the following processing tools were chosen .

1. Single Wafer Type Tool (SEZ DV38-DS).

2. Batch Type Spray Process Tool (TEL PR300z).

Figure 3.1: Class-100 Clean Room.

3.1.1 Processing Tool: Single Wafer Type Tool

Designed with the 300mm wafer Da Vinci ™ platform, SEZ DV38-DS offers eight double-sided process modules; enabling simultaneous, high-throughput removal of wafer front-side polymers and backside organics or particles (Figure 3.2). The process takes place in a multilevel process chamber. The chemistry is dispensed onto the top wafer surface or simultaneously onto both wafer surfaces at one level. Then, the wafer

is moved to another process level where it is rinsed with DI water and dried. This approach isolates the wafer frontside and backside, eliminating cross-contamination between wafer surfaces [3] (Figure 3.3).

Figure 3.2: SEZ DV (Da Vinci) 38-DS series.

40

Figure 3.3: Schematic of SEZ DV 38-DS process chamber.

3.1.2 Processing Tool: Batch Type Spray Process Tool

Although batch type spray process tool cleaning has been a mature technology for wafer cleaning for many years, there are still advancements made today, especially targeted for the 60nm and below technology node. According to an article presented by FSI on Semiconductor Manufacturing Magazine [4], centrifugal batch spray systems have successfully demonstrated higher particle removal efficiencies compared to non-megasonic wet benches, due to greater hydrodynamic forces. The other advantages for IC manufacturers, like leverage cost and cycle time reduction, also consolidate its role in semiconductor wet cleaning technology.

The batch type spray process tool, TEL PR300z, from Tokyo Electron Limited, Akasaka, Japan, that is commonly used for stripping photoresist from 12 inch wafers

for back end of line (BEOL) processing is used in this work (Figure 3.4). TEL PR300z has one process chamber with a maximum 25 wafers processing.

The TEL PR300z process chamber can be divided into an inner and outer chamber.

The inner chamber nozzle is responsible for spraying chemicals, rinsing IsoPropanol (IPA) & gas nitrogen (N2). Meanwhile, as for the function of the outer chamber nozzle, it uses DI water clean to rinse and Nitrogen gas to dry (Figure 3.5). During the cleaning process, the process rotor will rotate a maximum of 25 wafers. The chamber nozzles will remain static while the wafers are rotating (Figure 3.6 & Figure 3.7).

Figure 3.4 TEL PR300z Cleaning System.

42

Figure 3.5 Transparent schematic of equipment overview (Process chamber circled in red).

Figure 3.6 Schematic of process chamber function during process.

Figure 3.7 Schematic of TEL PR300z process chamber cross-section.

3.1.3 Processing Steps

This work emphasizes ways and methods to remove particles and residues generated from the oxide etch crown capacitor process. Thus, the investigative process steps include:

1. Crown capacitor wet etch.

2. Polymer residues and particles removal.

3.2 Sample Preparation

As substrates, one-sided polished silicon wafers are chosen with a thickness of 775μm and a diameter of 300 mm. Transistors are well formed, and bottom Titanium Nitride (TiN) electrodes on crown-shaped capacitors are integrated on top of a contact landing pad. The critical dimension of the capacitor is 0.091µm in diameter and 1.7µ m in

44

3.8(b) to Figure 3.8(d) show the exact image of the crown capacitor from a SEM cross-section view. The capacitor cylinders with TiN bottom electrodes exposed, are supported with 50 nm Si3N4 film on the top of the cylinders open area. This architecture work of the crown-shaped capacitor stands solidly on a tungsten (W) landing pad which functions as the contact. The relevant process is presented in Chapter 1, Figure 1.1.

(a) (b)

(c) (d) Figure 3.8

(a) SEM cross-section view of crown-shaped capacitor (50 K magnification).

(b) Schematic of crown-shaped capacitor architecture.

(c) Bottom of crown-shaped capacitor, contact with W landing pad (100 K magnification).

(d) Top-side of crown-shaped capacitor, support-Si3N4 structured to prevent capacitor collapse (100 K magnification).

46

3.2.1 Crown Capacitor Wet Etch Process

A wet bench tool, TEL UW300z (Figure 3.9) was chosen to perform the crown capacitor wet etch process. 49 wt% hydrogen fluoride (HF) with a temperature 20 degrees°C and circulation flow rate of around 23 liters/min is used to etch off ILD (Inter-Layer Dielectric). The main purpose of using 49 wt% HF is due to its high selectivity of various ILD films. The selectivity of Si3N4 to PSG is 1 to 65 tested on non-pattern film wafers. As a consequence of using pure HF solution, exposed ILD films are etched off completely; meanwhile partial amount of Si3N4 film will remain to support the cylinder capacitor. The remaining Si3N4 film is called the supporting- Si3N4 structure. The supporting- Si3N4 plays the important role of keeping the crown cylinders from collapsing (Figure 3.8 d ).

Figure 3.9 Wet bench TEL UW300z.

To be accessible with clean room facilities and equipment tank configuration, two conditions are designed in order to prepare a defect-less sample.

1. 49 wt% hydrogen fluoride (HF), 20 degrees°C, 20 liters/minute , 27 seconds  DI water rinse, 80 liters/minute, 600seconds  IPA 90 seconds + N2 300 seconds dry (as illustrated in Figure 3.9).

2. 49 wt% HF, 20 degrees°C, 20 liters/minute , 27 seconds  DI water rinse, 80 liters/minute, 600 seconds  1:200 NH4OH:H2O, Room Temperature, 600 seconds  IPA 90 seconds + N2 300 seconds dry (as illustrated in Figure 3.10).

Figure 3.10 Crown Wet Etch Process Condition 1.

48

Figure 3.11 Crown Wet Etch Process Condition 2.

Two experiment wafers, together with an extra twenty three pieces of dummy wafers that are put into the same cassette, are processed for each condition. These wafers are

Two experiment wafers, together with an extra twenty three pieces of dummy wafers that are put into the same cassette, are processed for each condition. These wafers are

相關文件