• 沒有找到結果。

Chapter 4: Impact of Process Variables On Wafer Surface

4.2 Discussion

4.2.2 Physical Reaction

From the result of section 4.1.3.1, we found that the rotation speed has direct impact on the particle removal. The physical mechanism of a particle removal by

hydrodynamic and centrifugal force is studied in this section. It is important to understand the detachment of particles with hydrodynamic drag force and from a practical point of view know the advantages and the limitations of this cleaning technique[10,11].

There are two classes of traditional particle removal: 1) Lift away from substrate:

particles move vertically through an ever-weakening force field. The applied force dislodges particle and immediately brings it through field. 2) Translate along substrate and off edge: once the particles are dislodged, they roll over substrate without being pulled through the force field, often occurs in fields at substrate edge.

The fundamental processes related to the removal of fine particles from surfaces in a hydrodynamic flow field are not adequately understood. Beaudoin had proposed a model of hydrodynamic particle removal from surfaces by using the particle Reynolds number [12]. In his approach, particle removal is assessed based on a critical particle Reynolds number: particle removal occurs at and above the critical particle Reynolds number, while particles remain attached to the surface when below the critical value.

90

Figure 4.13 shows a general model for a deformable particle, of diameter d, adhering to a surface in a hydrodynamic flow field. There are three forces – adhesion (FA), drag (FD), and lift (FL)- and one external moment (MD) acting on a particle (Figure 4.12)

Figure 4.13 Particle adhesion/removal model used in the critical particle Reynolds number model.

The particle Reynolds number (Rep) is defined as [13] :

(Equation 4-15)

The use of particle Reynolds number provides a means for scaling the removal criteria to different particle diameters and cleaning systems, as well as taking into account the

flowing conditions near an adhering particle.

When the vectors sum of external moment, drag force, and lift force exceeds adhesion force, particle is removed (Figure 4.13) [14]. All forces and moments except adhesion force are functions of Rep.

(Equation 4-16) (Equation 4-17)

(Equation 4-18) (Equation 4-19) : Vertical lever arm

: Horizontal lever arm

Figure 4.14 Schematic showing the definition of the lever arms as related to rolling particle removal.

92

Some particles were removed when (flow) (Rolling).

However, there should a balance between chemical reaction and physical reaction in order to have the lowest particle distribution. When the rotation speed reaches 800 rpm, the chemical reaction will be limited. Higher rotation speed implies less chemical reaction on the wafer due to the turbulent flow. It is noted that the design of high aspect ratio structure of the specimens can withhold the maximum external forces applied (rotation speed 800 rpm with chemical flow rate 1.2 liter/minute) without any structure collapses.

4.3 Summary for Physical Reaction and Optimal Condition Setup

In this study, we can conclude that the batch type spray cleaning process tool is reducing agent, mixed in an alkaline buffer. The cleaning mechanism is postulated to be a two step reducing and complexing reaction process. In addition, an appropriate

rotor rotation speed applied enhances the particle removal abililty.

Defect inspection results reveal that the condition of EKC265 with a rotation speed of 35/650 rpm removes a number of polymer residues and particles. Compared to the wafers without treatment, 90 percent of the particle defects were removed. As for the chemical process time, the final condition adopted is not the longest process time due to the pattern collapse and penetration. EKC265 with a process time of 4 minutes combined with an IPA of 6 minutes is chosen in order to have a wider process window.

Table 4.6 summarizes the final condition of this study.

Table 4.6 Final optimal condition for stacked crown capacitor cleaning.

4.4 Reproducibility Test and Yield Improvement Result

At the end of this work, a reproducibility test with the setup condition was carried out.

94

The reproducibility test is to qualify the optimal condition before mass production.

Figure 4.15 and Figure 4.16 show a significant improvement for crown capacitor defect counts with and without EKC265 treatment. The horizontal axis of these figures represented the tested wafers. Defect inspection is performed only after the cleaning process, thus, only final mean and median value will be considered as some of the pre-process difference will impact the distribution of defect counts.

For organic type residues, 50% of overall counts less for wafers with EKC265 treatment; meanwhile; for particles with size > 0.5 μm, the remove rate is larger than 80%. As for big sheet particles, wafers with EKC265 treatment are 60% lower than without.

The most important purpose of this study is to gain the wafer yield for 60 nm DRAM.

The wafer yield is defined as the percentage of good chips over the total chips on a wafer. Figure 4.16 presents an outstanding yield improvement using EKC265.

EKC265 yield is 3% higher than those without cleaning. In other term, with the cleaning process applied, there is an extra 50 good chips per wafer to be sell in the market. In addition, there is no electric characteristic: ICC2 (standby current) change by using EKC265 treatment (Figure 4.17). The impact of EKC265 on the surface

characteristic of the crown capacitor’s bottom electrode is assumed to be compatible with the device characteristic. Both CP1 marginal fail and typical fail are lower in our work.

From the above result, it cleary shows that the final optimal condition of this work is robust and applicable in factory mass production in terms of defect reduction and yield improvement.

Figure 4.15 Comparison of organic residues and small particle > 0.5 μm for wafer with and without EKC265 treatment.

Wafer number

96

Figure 4.16 Comparison of big sheet particle > 1.0 μm for wafers with and without EKC265 treatment.

Figure 4.17 Comparison of wafer yield and typical pass for lot with and without EKC265 cleaning.

Wafer number

References

1. Ohmi, T., “Proposal of advanced wet cleaning of silicon surface”. Extended Abstracts of 188th Electrochemical Society Meeting, Chicago, No. 429, pp.

680-681, 1995.

2. Stefan Lutter, “Optimization of a Single Wafer Post-Etch Residue Removal Process” Diplomarbeit, Fachbereich Mikrosystemtechnik, Fachhochschule

Regensburg, January, 2000.

3. EKC6800 product sheet, EKC Technology, Inc., Hayward, CA94545, November 2006, http://www2.dupont.com (6 November 2006). Chiyoda-ku, Tokyo 100, Japan, http://www.mgc.co.jp (13 April 2007).

7. EcoPeeler Y101 product sheet, Fine Polymers Corporation, Chiba Prefecture 270-0216, Japan, http://finepolymers.com/ecopeeler-concept (29 Feb 2008).

8. Lee, Wai Mun , “A Proven Sub-Micron Photoresist Stripper Solution For Post Metal and Via Hole Processes”, International Conference on Micro- and

98

Nanofabrication, Volumes 41-42, pp. 377-381, 1998.

9. M. Pourbaix, "Atlas of Electrochemical Equilibria in Aqueous Solutions", Pergamon, 1966, New York.

10. Busnaina, A.A. & Hong Lin, “The Physical Removal of Nanoscale Particles from Surfaces”, Advanced Semiconductor Manufacturing 2002 IEEE/SEMI Conference and workshop, pp.272-277, 1998.

11. Busnaina, A.A. and Gale, G.W, “Removal of Silica Particles from Silicon Substrates Using Megasonic Cleaning,” Journal of Particulate Science and Technology, Vol.15, pp.197-211, 1999.

12. G.M. Burdick, “Describing Hydrodynamic Particle Removal From Surfaces Using the Particle Reynolds Number,” Journal of Nanoparticle Research, volume 3, pp.

455-467, 2001.

13. Tsai, C.J., Pui, D.Y.H. and Liu, B.Y.H., “Particle Detachment from Disc Surfaces of Computer Disk Drives.” Journal of Aerosol Science Technology, volume 15, pp.60-68, 1991.

14. Soltani, M. and Ahmadi,G., “On Particle Adhesion and Removal Mechanisms in Turbulent Flows” Journal of Adhesion Science Technology, volume 8, no. 7, pp.

763-785, 1994.

Chapter 5: Conclusions and Future Development

5.1 Conclusions

Crown wet capacitor process plays an important role for increasing capacitance in 60nm DRAM. The main yield killer in 60nm DRAM is identified to be particle defects from the capacitor node. From defect source analysis evaluation results, the majority of particulate defects that have direct yield impact come from the crown capacitor wet etch back process that proceeds from the wet bench with concentrated HF. In low pH liquid solution, the specimen surface, which is Si3N4 and TiN exposed, is keen to attract major particulate defects that are negatively charged via strong van der Waals bondings. These particulate defects are divided into big particle defects larger than 0.5μm and residues. Titanium, Oxide, Carbon and Fluoro are the major elements contained in these defects. Thus, there is an urgent need to remove these defects in order to gain higher wafer yield.

It is surprising to see that the traditional spray type batch tool has a higher particle removal rate than the single wafer type tool. The main issues for the single wafer tool are 1) the insufficient drag force and detach momentum during chemical process, 2)

100

the DI water rinse for particles and pattern collapse during N2 drying. During processing, relevant chemicals, DI water and dry N2 flow are parallel to the process wafer for single wafer tool; while, for the batch type tool, direction of wafer is proportional to the process wafer flow. The differences in physical removal ability tend to favor the traditional spray type batch tool.

Out of five chemicals tested in this work, the HDA-based chemical EKC265 with a high pH has the best particle removal ability. This is because the zeta potential of particles on the specimen surface is negative under alkali solutions, so the electric double layer repulsion is stronger, which tends to repel particles from the wafer surface. With EKC265, processing conditions are optimized, such as process treatment time and rotation speed. Long chemical process times are better for particle removal but will cause more material loss which induces pattern collapses. As for the rotation speed, a lower RPM will lead to insufficient physical momentum for particles to dislodge, while a higher RPM will tend to have a relatively shorter chemical process time due to the flow pattern that keeps chemicals from attaching. Thus, a moderate condition with the largest process window will be finalized as the best condition. Also, the repeatability of the final condition proved to be consistent. The final wafer yield is improved by 2% due to the defect reduction.

The optimal condition of crown wet capacitor post cleaning: HDA based chemical EKC265 4 minutes with rotation speed 600 rpm and IPA 6 minutes performed with a batch type spray tool is proved to have a consistent performance for capacitor post cleaning for 60nm DRAM and beyond.

5.2 Future Development

As mentioned in chapter 1, the cleaning process is required to achieve: (i) ultraclean surface, (ii) without any side effects, (iii) within a short period of time, (iv) with high reproducibility, and (v) at low cost [1]. These requirements get increasingly demanding year by year as the device density goes up and device price goes down. At the same time, challenges to be overcome get diversified more than ever before, along with rapid diversification of device materials, manufacturing processes and production systems.

For the cleaning of metallization, a HDA-based chemical might still be suitable to be applied in a metal-via-hole cleaning process, however the solvent, due to its toxicity, is not easy to dispose and so is not environmentally viable. The solvent application is expected to continue to weaken because of environmental and health regulations that

102

restricting solvent emissions [2]. Thus, alternate processes that either reduce or replace chemical usage are being sought because of the current challenges of submicron particle removal, environmental impact from high consumption of water and chemicals, integration into cluster tools, as well as increasing costs [3]. The future development of chemicals will need to focus on environmentally friendly green products, like aqueous based chemical without solvent. However, semi aqueous or aqueous based chemicals are relatively weak in reaction in comparison to fluoride based or solvent based chemicals. Researchers are still working hard in developing a material loss limited, non-corrosive, disposable, environmentally friendly, low cost and low consumption for metallization cleaning.

This study shows that single wafer equipment performance is quite limited for the high aspect ratio structure process. An important aspect of wet cleaning is the final drying step. Conventional N2 centrifugal spin dryers can leave water marks, induce stress on the wafers, redeposit particle recontamination from static charges and cause the collapse of high aspect ratio structures which will lead directly to yield loss.

During the wafer drying step, surface tension can pull together neighboring pairs of tall, narrow structures. To prevent these issues, engineered drying that use isopropyl alcohol (IPA) drying or low surface tension fluids is introduced. However, IPA drying

requires high temperatures and high pressure environments, which adds to the chemical consumption, and poses an environmental hazard [3]. Thus, the use of low surface tension fluid is one of the new approaches being evaluated. In another perspective, the development of dry cleaning for high aspect ratio structure should also be considered.

As a conclusion, before the new technologies are mature, batch type equipment and HDA based chemistries will remain irreplaceable for high aspect ratio structures metal cleaning.

104

References:

1. Hitsohi, M., Ohmi, T.(Ed), "Principles of Semiconductor Device Wet Cleaning", Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing, Ed. 2006, Japan.

2. Hiroshi M., Akinobu T., Hitoshi M. Senri O., Kenichi, M. ,

“High-Performance Wet Cleaning Technology”, Scientific Wet Process

Technology for Innovative LSI/FPD Manufacturing, Ed. 2006, Japan.

3. P. Kücher, "Lessons Learned from 300mm Conversion for Next Generation Manufacturing," Proceedings of European IEEE/Semi Semiconductor Manufacturing Conference, April 2000, Munich, Semi Technical Publications, Mtn. View, CA.

4. M. Heyns, et al., "Advanced Wet and Dry Cleaning Coming Together for Next Generation," Solid State Technology, pp. 37-47, March 1999.

相關文件