• 沒有找到結果。

Chapter 3 Results and Discussion

3.3 NBTI and CHC Degradations on PMOSFETs

3.3.3 Comparisons between CHC and NBTI Stresses

To explore the CHC effect, we apply the same overdrive voltage (V = Vth -2V) on both gate and drain terminals while the source is grounded. Besides, we measure the currents under forward or reverse modes (see Fig. 3.32) to extract threshold voltage shift. Fig. 3.33 shows the threshold voltage shifts after CHC or NBTI stress.

As can be seen in the figure, the NBTI mode shows worse degradation while the results of the CHC effects under forward or reverse modes look quite different. Figure 3.34 depicts that the CHC mode generates fewer interface traps as compared with the NBTI mode, consistent with the less voltage shift. The results of lateral charging pumping measurements are shown in Fig. 3.35. In the figure we confirm that more

26

interface traps are generated near the drain side, leading to more threshold voltage shift for the forward mode shown in Fig. 3.34. At present, the lucky electron model is not sufficient to explain the CHC effects in PMOSFETs. The reasons are that holes have a smaller impact ionization rate and a higher Si-SiO2 barrier to overcome. More efforts are in demand for understanding the CHC effect. Finally, the NBTI effect is more serious and more attention should be paid.

Table 3.1 Capacitance equivalent thicknesses (CETs) of devices with B- or BF2-doped gates. Devices with serious boron penetration are also included.

CET(nm) B-doped gate

BF2-doped gate

B-doped gate with penetration

BF2-doped gate with penetration accumulation 2.7317 2.8075 2.8515 2.7485

inversion 3.1796 3.5261 3.1633 3.2015

Table 3.2 Estimated poly-depletion thickness from the difference in CETs measured in accumulation and inversion regions.

B gate BF2 gate Poly depletion (nm) 0.448 0.719

28

Chapter 4

Conclusion and Future Work

4.1 Recapitulation and Conclusion

In this thesis, we have employed a novel DP technique for fabricating PMOSFETs with gate length down to 80 nm using only conventional I-line stepper.

By taking advantage of the technique, devices with symmetric or asymmetric S/D extension structures were fabricated and characterized in our study. The effects of boron penetration, gate stack module, poly depletion, asymmetric extension behavior, and reliability issues, were carefully studied. Here, we briefly review and summarize the principal findings discovered in this work.

Although the DP technique requires an additional mask and extra process steps in comparison with traditional single-patterning self-aligned process, it is still an attractive alternative to fabricate deep-submicron and nano-scale devices with good CD control. TCAD simulation provides essential guidelines in combination with the DP technique, which are helpful in settling the process steps and decision on the implantation conditions.

The effects of boron penetration are scrutinized for the fabricated devices.

Devices show positive shift in Vth, SS degradation, and higher gate leakage current as the effect occurs. Moreover, fluorine enhances boron penetration so the activation temperature has to be reduced accordingly. Therefore, activation temperature plays an important role in devices demanding ultra-shallow junctions. On the other hand, BF2-gate devices exhibit severe gate depletion, causing the degraded current drive.

However, BF2 implantation is an excellent approach to achieve ultra shallow junction.

The effects of halo implantation were studied. Although devices with halo implantation show improved SCEs, increased Vth as well as more severe RSCE are

observed in short-channel devices, not to mention that halo doping is difficult to refine and optimize to an appropriate value. As for the comparison between B- and BF2-gate devices, the former shows better current drive, albeit more severe RSCE.

For better performance in CMOS circuits, the B-gate devices are preferable without suffering from boron penetration enhanced by fluorine.

The asymmetric devices are attractive because they allow more margins for performance optimization. We have successfully fabricated asymmetric devices with the aid of TCAD simulation. However, the depth of B-doped junctions seems to be underestimated, owing to the use of a screen oxide which may cause undesired OED.

However the off-state leakage current is reduced with such deepened junction, indicating the tradeoff between junction depth and leakage current.

We’ve also investigated reliability issues including NBTI and CHC effects with various gate stack modules. It is found that NBTI-induced degradation is worse than the CHC-induced degradation on PMOSFETs, especially in the short-channel devices stressed at a high temperature. For the BF2-gate devices, the fluorine incorporation in both gate and overlap regions causes a reduction in the donor-like interface traps.

However, fluorine enhances boron penetrations and brings about several concerns as mentioned above. By means of the CP measurements, we confirm that NBTI stress indeed generates more interface states and causes more severe degradation over CHC.

For lateral CP measurements in CHC mode, we observe more interface traps are generated near the drain side, which is consistent with the larger threshold voltage shift under the forward mode of measurements. Nonetheless, our findings don’t support the observed trend reported previously by other groups that CHC would result in more severe damage than NBTI stress [49-51]. More efforts are needed to comprehend the whole picture of the PMOS reliability.

30

4.2 Future Work

In this work, we have successfully developed a DP technique to achieve gate patterns of 80 nm with an I-line steeper. Nevertheless, ultra shallow junction is a crucial subject for device scaling against SCEs. It is noticed that the impacts of OED must be taken into account in TCAD simulation for accurately predicting the junction depth. Carefully adjusting the conditions of halo implantation and activation temperature is essential to optimize performance in terms of suppressed boron penetration and reduced off-state leakage current.

In this work only PMOSFETs were fabricated and characterized. In future we could design and fabricate basic CMOS components and circuitries, such as inverters and ring oscillators with the developed DP technique, exploring the applications of asymmetric devices on the circuit level.

Our group has recently purchased an Agilent B1500 system, which is capable of on-the-fly measurements. The time to measure fundamental device characteristics during the stressing test is reduced to 100 μs. This procedure can reduce the impacts of these fundamental measurements on the stress characterization. Therefore, the NBTI recovery effect can be more precisely examined and trapping or de-trapping of oxide traps can be measured by the ultra-fast on-the-fly setup. It is constructive in separation of Nit and oxide traps. The R-D model for AC NBTI stress has been modified and received a lot of attention in recent years. The above scheme may also be helpful for clarifying the cryptic natures of NBTI and CHC stresses.

References:

[1] G. E. Moore, ―Cramming more components onto integrated circuits,‖ Electronics, vol. 38, no. 1, pp. 114-117, 1965.

[2] ―2010 Update,‖ International Technology Roadmap for Semiconductors (ITRS), 15 February, 2011. [online] available: http://www.itrs.net

[3] ―Intel 22nm 3-D Tri-Gate Transistor Technology,‖ Intel, 2 May, 2011. [online]

available: http://newsroom.intel.com

[4] K. Roy, S. Mukhopadhyay, and H. M. Meimand, ―Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS Circuits,‖

Proceedings of the IEEE, vol. 91, no. 2, pp. 305-327, Feb. 2003.

[5] K. K. Ng and W. T. Lynch, ―The impact of intrinsic series resistance on MOSFET scaling‖, IEEE Trans. Electron Devices, vol. ED-34, no.

3, pp.503 - 511 , 1987.

[6] F. C. Hsu, P. K. Ko, S. Tam, C. Hu, and R. S. Muller, ―An analytical breakdown model for short channel MOSFETs,‖ IEEE Trans. Electron Devices, vol. ED-29, no. 11, pp. 1735 - 1740 , 1982.

[7] Y. Shiyanovskii, F. Wolff, A. Rajendran, and C. Papachristou, ―Process

reliability based trojans through NBTI and HCI effects,‖ NASA/ESA Conference on Adaptive Hardware and Systems, 2010.

[8] Hong Xiao, Introduction to semiconductor manufacturing technology. New Jersey: Prentice Hall, 2000, Ch. 6.

[9] M. LaPedus, ―Intel: EUV late for 10nm milestone,‖ EE Times, 28 February, 2011.

[10] P. Petric, C. Bevis, M. McCord, A. Carroll, and A. Brodie, ―Reflective electron beam lithography: a maskless ebeam direct write lithography approach using the

32

reflective electron beam lithography concept,‖ J. Vac. Sci. Technol. B vol. 28 pp.

C6–C13, November, 2010.

[11] J. Finders, M. Dusa, B. Vleeming, H. Megens, and B. Hepp, ―Double patterning for 32nm and below: an update,‖ Proc. of SPIE Double Masking, p. 692408-1, 2008.

[12] P. J. Silverman, ―Extreme ultraviolet lithography: overview and development status,‖ J. Microlith., Microfab. Microsyst. Vol. 4, 011006, 2005.

[13] M. Jung, J. Park, and L. Manchanda, ―32nm half pitch formation with high-numerical-aperture single exposure,‖ Jpn. J. Appl. Phys., vol. 48, pp.

1065-1069, 2009.

[14] D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, T.-J.

King, J. Bokor, and C. Hu, ―FinFET—A self-aligned double-gate MOSFET scalable beyond 20 nm‖, IEEE Trans. Electron Devices, vol. 47, pp. 2320 - 2325 , 2000.

[15] B. Yu, D. H. Ju, W. C. Lee, N. Kepler, T. J. King, and C. Hu, ―Gate engineering for deep-submicron CMOS transistors", IEEE Trans. Electron Devices, vol.

45, pp.1253 - 1262 , 1998.

[16] B. Yu, D. H. Ju, N. Kepler, T.-J. King, and C. Hu, ―Gate engineering for performance and reliability in deep-submicron CMOS technology‖, Symp. VLSI Technol., p. 105, 1997.

[17] J. Sung, C.-Y. Lu, M. L. Chen, and S. J. Hillenius, ―Fluorine effect on boron diffusion of P+ gate devices,‖ IEDM Tech. Dig., p. 447, 1989.

[18] M.-Y. Hao, D. Nayak, and R. Rakkhit, ―Impact of boron penetration at P+-poly/gate oxide interface on deep-submicron device reliability for dual-gate CMOS technologies,‖ IEEE Electron Device Letter., vol. 18, pp. 215–217, May 1997.

[19] H. P. Tuinhout, A. H. Montree, J. Schmitz, and P. A. stolk, ―Effects of gate depletion and boron penetration on matching of deep submicron CMOS transistors,‖ IEEE Int. Electron Devices Meeting, Dec. 1997, pp. 631–634.

[20] C.-Y. Chang, C.-C. Cheng, H.-C. Lin, M.-S. Liang, C.-H. Chien, and T.-Y.

Huang, ―Reliability of ultrathin gate oxides for ULSI devices,‖ Microelectron.

Reliability, vol. 39, pp. 553–556, 1999.

[21] Z. J. Ma, J. C. Chen, Z. H. Liu, J. T. Krick, Y. C. Cheng, C. Hu, and P. K. Ko,

―Suppression of boron penetration in p+ polysilicon gate P-MOSFET’s using

low-temperature gate-oxide N2O anneal,‖ IEEE Electron Device Lett., vol. 15, pp. 109-111, Mar. 1994.

[22] S. Thompson, P. Packan, T. Ghani, M. Stettler, M. Alavi, I. Post, S. Tyagi, S.

Ahmed, S.Yang, and M. Bohr, ―Source/drain extension scaling for 0.1μ m and below channel length MOSFETS,‖ Symp. VLSI Tech. Dig., 1998, pp. 132–133.

[23] M. Mehrotra, J.Wu, A. Jain, T. Laaksonen, K. Kim,W. Bather, R. Koshy, J. Chen, J. Jacobs, V. Ukraintsev, L. Olsen, J. DeLoach, J. Mehigan, R. Agarwal, S.Walsh, D. Sekel, L. Tsung, M. Vaidyanathan, B. Trentman, K. Liu, S. Aur, R.

Khamankar, P. Nicollian, Q. Jiang, Y. Xu, B. Campbell, P. Tiner, R. Wise, D.

Scott, and M. Rodder, ―60 nm gate length dual- Vt CMOS for high performance applications,‖ VLSI Symp. Tech. Dig., 2002, pp. 124–125.

[24] G. Fortunato, L. Mariucci, M. Stanizzi, V. Privitera, S. Whelan, C. Spinella, G.

Mannino, M. Italia, C. Bongiorno, and A. Mittiga, ―Ultrashallow junction formation by excimer laser annealing and low energy (<1 keV) B implantation:

A two-dimensional analysis,‖ Nucl. Instrum. Methods Phys. Res. B, vol. B186, pp. 401–408, 2002.

[25] S. B. Felch, Z. Fang, B. W. Koo, R. B. Liebert, S. R. Walther, and D. Hacker,

34

―Plasma doping for the fabrication of ultra-shallow junctions,‖ Surf. Coat.

Technol., vol. 156, no. 1–3, pp. 229–236, Jul. 2002.

[26] A. Agarwal, A. T. Fiory, H. J. L. Gossmann, C. S. Rafferty, and P. Frisella,

―Ultra-shallow junction formation by spike annealing in a lamp based or hot-walled rapid thermal annealing system: Effect of ramp-up rate,‖ Mat. Sci.

Semiconduct. Proc., vol. 1, pp. 237–241, 1998.

[27] Y. Nakahara, K. Takeuchi, T. Tatsumi, Y. Ochiai, S. Manako, S. Samukawa, and A. Furukawa, ―Ultra-shallow in-situ-doped raised source/drain structure for sub-tenth micron CMOS,‖ in Symp. VLSI Technol. Dig., 1996, pp. 174–175.

[28] S. N. Hong, G. A. Ruggles, J. J. Wortman, and M. C. Ozturk, ―Material and electrical properties of ultra-shallow p+-n junctions formed by low-energy ion implantation and rapid thermal annealing,‖ IEEE Trans. Electron Devices, vol.

38, pp. 476-486, 1991.

[29] T. M. Pan, ―Channel length dependence of negative bias temperature instability on pMOSFETs with either B- or BF2-implanted source/drain,‖ Journal of the Electrochemical Society, vol.153, no.7, p.G707, 2006.

[30] M. Maenhoudt, J. Versluijs, H. Struyf, J. Van Olmen, and M. Van Hove, ―Double patterning scheme for sub- 0.25 k1 single damascene structures at NA=0.75, λ=193nm,‖ SPIE Optical Lithography Proceedings, 2005.

[31] H. C. Lin, T. I. Tsai, T. S. Chao, M. F. Jian, and T. Y. Haung, ―Fabrication of sub-100-nm metal-oxide-semiconductor field-effect transistors with asymmetrical source/drain using I-line double patterning technique,‖ Journal of Vacuum Science & Technology B, vol. 29, pp.021007-021007-7, 2011.

[32] R. W. Dutton and A. J. Strojwas, ―Perspectives on technology and

technology-driven CAD,‖ IEEE Trans Comput.-Aided Design Integr. Circuits

Syst., vol. 19, no. 12, pp. 1544–1560, Dec. 2000.

[33] W.-D. Rau, F. H. Baumann, H.-H. Vuong, B. Heinemann, W. Hoppner, C. S.

Rafferty, H Rucker, P. Schwander, and A. Ourmazd, ―Two-dimensional dopant profiling of deep submicron MOS devices by electron holography,‖ IEDM Tech.

Dig., p. 713, Dec. 1998.

[34] G. Groeseneken, H. E. Maes, N. Beltran, and R. F. De Keersmaecker, ―A reliable approach to charge-pumping measurements in MOS transistors,‖ IEEE Trans.

Electron Devices, vol. 31, no.1, pp.42-53, 1984.

[35] H. Paul, et al., W. Johan, G. Guido, and E. M. Herman, ―Analysis of the charge pumping technique and its application for the evaluation of MOSFET,‖ IEEE Trans. Electron Devices, vol. 36, no.7, pp.1318-1335, 1984.

[36] K. S. Krisch, L. Manchanda, F. H. Baumann, M. L. Green, D. Brasen, L. C.

Feldman, and A. Ourmazd, ―Impact of boron diffusion through O2 and N2O gate dielectrics on the process margin of dual-poly low power CMOS,‖ in IEDM Tech.

Dig., 1994, pp. 325–328.

[37] J. M. Sung, C. Y. Lu, M. L. Chen, and S. J . Hillenius, ―Fluorine effect on boron diffusion of p+ gate devices,‖ in IEDM Tech. Dig., 1989, p. 447.

[38] D. Wristers, L. Han, T. Chen, H. H. Wang, and D. Kwong, ―Degradation of oxynitride gate dielectric reliability due to boron diffusion,‖ Appl. Phys. Lett., vol. 68, p. 2094, 1996.

[39] B. Yu, C. H. J.Wann, E. D. Nowak, K. Noda, and C. Hu, ―Short-channel effect improved by lateral channel engineering in deep-submicrometer MOSFET’s,‖

IEEE Trans. Electron Devices, vol. 44, pp. 627–634, Apr. 1997.

[40] Min-Feng Jian, ―A study on the device characteristic of Asymmetic NMOSFETs with Double-patterning Technique,‖ Master Thesis, Department of Electronics Engineering and Institute of Electronics College of Electrical and Computer

36

Engineering National Chiao-Tung University, 2010.

[41] S. Shishiguchi, A. Mineji, T. Yasunaga, and S. Saito, ―33 nm ultra shallow

junction technology by oxygen free and point-defect reduction process,‖ in Symp.

VLSI Tech. Dig., 1998, pp. 134–135.

[42] N. Kiinizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai, and T.

Horiucho, ―The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling,‖ VLSI Symp. Tech. Dig., pp. 73-74,

Phys. vol. 48, pp. 2004-2014, 1997.

[44] D. Schroder and J. F. Babcock, ―Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing,‖ J. Appl. Phys., vol. 94, pp. 1–18, 2003.

[45] M. A. Alam and S. Mahapatra, ―A comprehensive model of PMOS NBTI degradation,‖ Microelectronics Reliability, vol. 45, pp. 71-81, 2005.

[46] T. Grasser, W. Gos, V. Sverdlov, and B. Kaczer, ―The universality of NBTI relaxation and its implications for modeling and characterization,‖ in Proc. IEEE IRPS, 2007, pp. 268–280.

[47] T. H. Ning, P. W. Cook, R. H. Dennard, C. M. Osburn, S. E. Schuster, and H. N.

Yu, ―1μm MOSFET VLSI technology: part IV-hot-electron design constrains,‖

IEEE Trans. Electron Devices, vol. ED-26, pp 346-353, 1979.

[48] P. Aminzadeh, M. Alavi, and D. Scharfetter, ―Temperature dependence of substrate current and hot carrier-induced degradation at low drain bias,‖ in VLSI

Symp. Tech. Dig., 1998, pp. 178–179.

[49] C. Guerin, V. Huard, A. Bravaix, M. Denais, J. M. Roux, F. Perrier, and W. Baks,

―Combined effects of NBTI and channel hot carrier effects in pMOSFETs,‖ in Proc. IEEE Int. Integr. Rel. Workshop Final Rep, pp. 10–16, 2005.

[50] S. Y. Chen, C. H. Tu, J. C. Lin, M. C. Wang, P. W. Kao, M. H. Lin, S. H. Wu, Z.

W. Jhou, S. Chou, J. Ko, and H. S. Haung, ―Investigation of DC hot-carrier degradation at elevated temperatures for p-channel metal-oxide-semiconductor field-effect transistors of 0.13μm technology,‖ Japanese Journal of Applied Physics, vol. 47, pp. 1527-1531, 2008.

[51] L. S. Chao, H. C. Shih, L. W. Jam, and S. S. Chung, ―Localization of NBT hot carrier-induced oxide damage in SOI pMOSFET's,‖ Reliability Physics Symposium, 2005.

[52] J. Lei and M. Xu, ―Effect of channel length on NBTI in sub-100nm CMOS technology,‖ IEEE International Nanoelectronics Conference, 2008.

[53] T. P. Ma, ―Metal-oxide-semiconductor gate oxide reliability and the role of fluorine,‖ J. Vac. Sci. Tech. A, vol. 10, pp. 705-712, 1992.

[54] T. Nakanishi, T. Kawamoto, and K. Takasaki, ―Instability of SiO2 film caused by Fluorine and Chlorine inclusion,‖ Jpn. J. Appl. Phys., vol. 37, pp. 4316-4320, 1998.

38

Fig. 1.1. Historical trends of physical gate length of microprocessor unit (MPU) versus year [2].

Fig. 2.1. Design of the double patterning masks. Overlap region of G1 and G2 masks in the active region is the nominal gate length.

Fig. 2.2. In-line SEM images and measured gate lengths for poly-Si patterns in the selected dies with nominal gate length of 100nm.

40

Fig. 2.3. In-line SEM images and measured gate lengths for poly-Si patterns with nominal gate length of 80nm. The test structures were selected from dies characterized in Fig. 2.2.

Fig. 2.4. In-line SEM images and measured gate lengths for poly-Si patterns with nominal gate length of 60nm. The test structures were selected from dies characterized in Fig. 2.2. The measured dimension is much smaller than Lmask, indicating the CD is out of control at such a small dimension.

Fig. 2.5. In-line SEM images for poly-Si patterns measured in four different dies with nominal gate length of 80nm. The measured gate lengths show good CD control even for the corner dies.

42

Fig. 2.6. Due to the use of source-side screen oxide, junctions formed by B+ and BF2+ have similar junction profile.

Fig. 2.7. The activated carrier concentration of the As-doped regions implies that halo implantation participated in the S/D junction construction.

Fig. 2.8. The highlight of lateral doping profile along the channel shows no significant discrepancy between S and D extensions.

Fig. 2.9. Illustration of device fabrication flow:

(b) LOCOS isolation; (b) gate oxide and poly deposition; (c) gate implantation; (d) hard mask deposition;

44

Fig. 2.10. (cont.) (e) G1 mask definition; (f) symmetric devices defined by G2 mask first; (g) halo implantation;

Fig. 2.11. (cont.) (h) capping screened oxide; (i) source side extension of asymmetric devices and the split A of symmetric devices formed by B+;

Fig. 2.12. (cont.) (j) asymmetric devices defined by G2 mask; (k) halo implantation;

(l) drain side extension of asymmetric devices and the split B of symmetric devices formed by BF2+;

Fig. 2.13. (cont.) (m) sidewall spacer formation; (n) deep S/D implantation; (o) TEOS passivation; (p) metal contact definition and forming gas annealing.

46

Fig. 2.14. Schematics showing the measurement biases for the NBTI mode and CHC mode.

Fig. 2.15. Fundamental setup structure for charge pumping measurements.

Fig. 2.16. Lateral charge pumping measurement setup for detached side of single junction. For example, the lateral distribution of generated interface states after stress was extracted near the drain side.

Fig. 2.17. Schematic illustrations for the charge pumping measurement of different pulse conditions: (a) fixed amplitude; (b) fixed peak sweep; (c) fixed base sweep.

48

Fig. 3.1. Transfer characteristics of devices with channel length of 10 μm and B-doped gate fabricated with double patterning or single patterning scheme.

Fig. 3.2. Transfer characteristics of devices with channel length of 10 μm and BF2-doped gate fabricated with double patterning or single patterning scheme. Gate leakage current is high, presumably because of fluorine incorporation.

Gate Voltage (V)

Fig. 3.3. Transfer characteristics of devices with B-doped gate for channel length of 0.2 μm and 0.12 μm.

Fig. 3.4. Transfer characteristics of devices with BF2 -doped gate for channel length of 0.2 μm and 0.12 μm.

50

Fig. 3.5. (a) Schematic showing boron diffusion from the heavily doped P+ ploy gate into gate oxide and interface region for conventional SiO2 and (b) interaction of the boron with the nitrogen piled-up at the channel/oxide interface [38].

Fig. 3.6. Transfer characteristics of B- and BF2-doped devices with channel length of

52

Fig. 3.8. Transfer characteristics of devices with BF2-doped gate and various channel length of 1 μm and 0.08 μm.

Fig. 3.10. Output characteristics of devices with BF2-doped gate and channel length of

54

Fig. 3.12. DIBL as a function of gate length for devices with BF2- or B-doped gate.

Fig. 3.13. SS as a function of gate length for devices with BF2- or B-doped gate.

Gate Length (m)

0.1 1 10

D IB L (m V /V )

0 50 100 150 200 250

BF2-Gate B-Gate DIBL = 10nA*(W/L)

Gate Length (m)

0.1 1 10

SS (mV/dec.)

80 100 120 140

BF2-Gate B-Gate VDS = -0.05V

Fig. 3.14. Ion as a function of gate length ranging from 0.08 to 10 μm for devices with BF2- or B-doped gate.

Fig. 3.15. Comparisons of transfer characteristics of an NMOSFET with PMOSFETs with BF2- or B-doped gate. The devices are with an identical channel length of 0.1μm.

The solid symbols are for VDS = -0.05V and hollow symbols for VDS = -1V.

Gate Length (m)

0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45

I

on

(A)

56

Fig. 3.16. C-V curves of devices with B- or BF2-doped gate. Results for devices exhibiting B-penetration effects are also shown. The measurement frequency is 100 kHz.

Fig. 3.17. A sketch of the asymmetrical PMOSFETs and configuration of forward and reverse modes of operation.

x m2

Voltage Bias (V)

-4 -3 -2 -1 0 1 2 3 4

Capa citance (C)

0 20x10-12 40x10-12 60x10-12 80x10-12 100x10-12 120x10-12 140x10-12

B-Gate BF2-Gate

B-Gate B-penetration BF2-Gate B-penetration

Fig. 3.18. Transfer characteristics of the asymmetric device with channel length of 10 μm.

Fig. 3.19. Output characteristics of the asymmetric device with channel length of 10 μm.

58

Fig. 3.20. Transfer characteristics of the single-patterning device with symmetric extension by B implantation. It suggests that leakage current is not incurred by the DP technique.

Fig. 3.21. Transfer characteristics of the asymmetric device with channel length of 0.2 μm.

Fig. 3.22. Output characteristics of the asymmetric device with channel length of 0.2

60

Fig. 3.24. Transfer characteristics of the asymmetric device under forward mode.

Drain voltage increases from -0.1V to -1V by step of -0.1V.

Fig. 3.25. Transfer characteristics of the asymmetric device under forward mode measured at different temperature.

Fig. 3.26. Threshold voltage shift versus stress time for B-gate devices of different channel lengths at various temperatures under NBTI mode.

Fig. 3.26. Threshold voltage shift versus stress time for B-gate devices of different channel lengths at various temperatures under NBTI mode.