• 沒有找到結果。

Chapter 6 Conclusions and Future Work

6.2 Future Work

Wireless medical micro-sensors are usually with two different operating modes:

Low-Power Mode and Performance Mode because the well-known signals of the

main characteristics of cardiac activity. More than 99% operating time of sensor nodes are operating in low-power mode to record various physiological signals throughout its life time while only less than 1% operating time in performance mode to process and transmit real-time informative cardiovascular parameters to a host.

This low-power-mode-dominated scenario is capable of further reducing total energy consumption if dynamic voltage frequency scaling (DVFS) technique is applied. The benefit of DVFS technique is attributed to the quadratic savings in active CVDD2

f

110

power.

The proposed 0.5V~0.25V PVT sensors can be used for DVFS system operated in sub/near-threshold region. Figure 6.1 shows the sub/near-threshold DVFS system, it is composed of two switched-capacitor (SC) DC-DC converters, decoupling capacitors (DeCaps), the proposed clock generator, level shifters (LS), DVFS controller, PVT sensors, supply switch, and near/sub-threshold 8T SRAM-based FIFO.

The PVT sensors are used to measure environment process, voltage and temperature variation information. This information will be utilized by DVFS controller to switch supply voltage and scale operating frequency.

VddH

Figure 6.1 Sub/near-threshold DVFS system.

The process invariant temperature sensor can be utilized to monitor temperature variation in 3D IC. The process invariant property would make it special suitable to sense temperature in different layer without much inaccuracy. The conceptual image of heterogeneous 3D integration is shown in Figure 6.2. Based on discussion in section 5.2.2, the thermal issue is taken into account. Microchannel cooling elements

111

are set between face of each chip to remove the heat dissipated locally by each chip.

The cold fluid is injected into microchannel and heat of chips is taken away by hot fluid. If there is some approach to control the fluid strength with the proposed temperature sensor, we can make temperature in the 3D-ICs as stable as possible. In this way, the power consumption of system would be reduced significantly.

Cold Fluid

Hot Fluid

TSV & Bonding Front-End Circuit

DRAM

L2 Cache

Multi-Core + L1 Cache

Figure 6.2 Conceptual image of heterogeneous 3D integration with interlayer cooling.

112

Reference

Chapter 1

[1.1] S. K. Gupta, A. Raychowdhury and K. Roy, ―Digital computation in subthreshold region for ultralow-power operation: a device-circuit-architecture codesign perspective,‖ in Proceeding of the IEEE, pp. 160-190, Feb. 2010.

[1.2] B. H. Calhoun, J. F. Ryan, S. khanna, M. Putic, J. Lach, ―Flexible circuits and architectures for ultralow power,‖ in Proceeding of the IEEE, pp. 267-282, Feb.

2010.

[1.3] A. P. Chandrakasa, D. C. Daly, D. F. Finchelstein, J. Kwong, Y. K. Ramadass, M. E. Sinangil, V. Sze and N. Verma, ―Technologies for Ultradynamic voltage scaling,‖ in Proceeding of the IEEE, pp. 191-214, Feb. 2010.

[1.4] W. H. Cheng and B. M. Baas,‖ Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages,‖ in IEEE Int’l Symp. Circuits and Systems, pp. 1236-1239, June 2008.

[1.5] V.F. Pavlidis, E.G. Friedman, "Interconnect-Based Design Methodologies for Three-Dimensional Integrated Circuits, " in Proceedings of the IEEE , vol.97, no.1, pp.123-140, Jan. 2009.

[1.6] A. W. Topol, D. C. L. Tulipe, L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A.

Kumar, G. U. Singco, A. M. Young, K. W. Guarini, M. Ieong,

"Three-dimensional integrated circuits," in IBM Journal of Research and

113

Development , vol.50, no.4.5, pp.491-506, July 2006

[1.7] T. Hamamoto, S. Sugiura, and S. Sawada, ―On the retention time distribution of dynamic random access memory (DRAM),‖ in IEEE Trans. Electron Devices, vol. 45, pp. 1300–1309, June 1998.

[1.8] Minchen Chang, Jengping Lin, S.N. Shih, Tieh-Chiang Wu, Brady Huang, Jen Yang, P.-I. Lee , "Impact of gate-induced drain leakage on retention time distribution of 256 Mbit DRAM with negative wordline bias," in IEEE Trans.

Electron Devices , vol.50, no.4, pp. 1036- 1041, April 2003.

[1.9] Joohee Kim, M.C. Papaefthymiou, "Block-based multiperiod dynamic memory design for low data-retention power," in IEEE Trans. VLSI Systems , vol.11, no.6, pp. 1006- 1018, Dec. 2003

[1.10] M. Trakimas, Sungkil Hwang, S. Sonkusale, "Low Power Asynchronous Data Acquisition Front End for Wireless Body Sensor Area Network," 24th International Conference on VLSI Design, , pp.244-249, 2-7 ,Jan. 2011

Chapter 2

[2.1] M. A. P. Pertijs, A. Bakker, and J. H. Huijsing, ―A high-accuracy temperature sensor with second-order curvature correction and digital bus interface,‖ in IEEE Int’l Symp. Circuits and Systems, vol. 1, pp. 368–371, May 2001.

[2.2] G. Wang and G. C. M. Meijer, ―The temperature characteristics of bipolar transistors fabricated in CMOS technology,‖ in Sens. Actuat., vol. 87, pp. 81–89, Dec. 2000.

[2.3] G. C. M. Meijer, G. Wang, and F. Fruett, ―Temperature sensors and voltage

114

references implemented in CMOS technology,‖ in IEEE Sensors Journal, vol. 1, pp. 225–234, Oct. 2001.

[2.4] A. L. Aita, M. A. P. Pertijs, and K. A. A. Makinwa, ―A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25˚C (3σ ) from -70˚

C to 130˚C,‖ in IEEE Int. Solid-State Circuits Conf., pp. 342–343, 343a ,Feb.

2009.

[2.5] M. A. P. Pertijs, K. A. A. Makinwa, and J. H. Huijsing, ―A CMOS smart temperature sensor with a 3_ inaccuracy of ±0.1_C from -55˚C to 125˚C,‖ in IEEE Journal of Solid-State Circuits, vol. 40, no. 12, pp. 2805–2815, Dec. 2005.

[2.6] R.B.Staszewski, S. Vemulapalli, P. Vallur, J. Wallberg, P. T. Balsara, ―1.3V 20p Time-to-Digital Converter for Frequency Synthesis in 90-nm CMOS‖, in IEEE Trans. on Circuits and Systems II, pp.220-224, Mar.2006.

[2.7] C. M. Hsu, M. Z. Straayer, M. H. Perrott, ―A Low-Noise, Wide-BW 3.6GHz Digital ΔΣ Fractional-N Frequency Synthesizer with a Noise-Shaping Time-to-Digital Converter and Quantization Noise Cancellation,‖ in IEEE Int.

Solid-State Circuits Conf., pp.340-617, Feb. 2008.

[2.8] T. T. Nguyen, S. Kwansu, S. W. Kim, ―A Delay Line with Highly Linear Thermal Sensitivity for smart temperature sensor,‖ in IEEE Trans. Circuit and System, pp.899-902, Aug. 2007.

[2.9] P. Chen, M. C. Shie, Z. Y. Zheng, Z. F. Zheng, C. Y. Chu, ―A Fully Digital Time-Domain Smart Temperature Sensor Realized With 140 FPGA Logic Elements‖, in IEEE Trans. Circuit and system, vol. 54, no. 12, pp. 2661–2668, December 2007.

115

[2.10] Poki Chen, Tuo-Kuang Chen, Yu-Shin Wang, Chun-Chi Chen, "A Time-Domain Sub-Micro Watt Temperature Sensor With Digital Set-Point Programming, " in IEEE Sensors Journal , vol.9, no.12, pp.1639-1646, Dec.

2009.

[2.11] K. Nose, M. Kajita, M. Mizuno, ―A 1-ps Resolution Jitter Measurement Macro Using Interpolated Jitter Oversampling,‖ in IEEE Journal of Solid-State Circuits, pp.2911-2920, Dec. 2006.

[2.12] T. Komuro, ―ADC Architecture Using Time-to-Digital Converter,‖ IEICE vol. J90-C, April 2007.

[2.13] J. P. Kim, W. Yang and H-Y Tan, ―A low power 256-Mb SDRAM with an on-chip thermometer and biased reference line sensing scheme‖, in IEEE Journal of Solid-State Circuits, Vol. 38, No. 2, pp. 329-337, Feb. 2003.

[2.14] Y.W. Li, H. Lakdawala, A. Raychowdhury, G.Taylor, K. Soumyanath, "A 1.05V 1.6mW 0.45°C 3σ-resolution ΔΣ-based temperature sensor with parasitic-resistance compensation in 32nm CMOS," in IEEE Int. Solid-State Circuits Conf., pp.340-341,341a, 8-12 Feb. 2009

[2.15] H. Lakdawala, Y.W. Li, H. Lakdawala, A. Raychowdhury, G.Taylor, K.

Soumyanath, "A 1.05 V 1.6 mW, 0.45 ˚C 3σ Resolution ΣΔ Based Temperature Sensor With Parasitic Resistance Compensation in 32 nm Digital CMOS Process," in IEEE Journal of Solid-State Circuits, vol.44, no.12, pp.3621-3630, Dec. 2009

[2.16] C.P.L. van Vroonhoven, K.A.A. Makinwa, "A CMOS Temperature-to-Digital Converter with an Inaccuracy of ± 0.5° C (3/spl

116

sigma)from -55 to 125°C," in IEEE Int. Solid-State Circuits Conf., pp.576-637, 3-7 Feb. 2008

[2.17] V. Székely, Cs. Márta, Zs. Kohári, and M. Rencz, ―CMOS sensors for on-line thermal monitoring of VLSI circuits,‖ IEEE Trans. VLSI System, vol. 5, no. 3, pp. 270–276, Sep. 1997.

[2.18] M. Sasaki, M. Ikeda, K. Asada, ―A Temperature Sensor With an Inaccuracy of -1/+0.8 ˚C Using 90-nm 1-V CMOS for Online Thermal Monitoring of VLSI Circuits,‖ IEEE Trans. Semiconductor manufacturing, vol. 21, no. 2, pp. 201 – 208, May 2008

[2.19] P. Chen, C. C. Chen; C. C. Tsai, W. F. Lu, ―A Time-to-Digital-Converter-Based CMOS Smart Temperature Sensor,‖ in IEEE Journal of Solid-State Circuits, vol. 40, no. 8, PP1642-1648, August 2005.

[2.20] T. A. Demassa and Z. Ciccone, Digital Integrated Circuits. New York: Wiley, 1996.

[2.21] P. Chen, C. C. Chen, Y. H. Peng, K. M. Wang, Y. S. Wang , "A Time-Domain SAR Smart Temperature Sensor With Curvature Compensation and a 3σ Inaccuracy of −0.4°C ∼ +0.6°C Over a 0°C to 90°C Range," in IEEE Journal of Solid-State Circuits, vol.45, no.3, pp.600-609, March 2010

[2.22] K. Woo, S. Meninger, T. Xanthopoulos, E. Crain, D. Ha, and D. Ham,

―Dual-DLL-based CMOS all-digital temperature sensor for microprocessor thermal monitoring,‖ in IEEE Int. Solid-State Circuits Conf. Dig., pp. 68–69, Feb.

2009.

117

[2.23] M. K. Law, A. Bermak, and H. C. Luong, ―A sub-μW embedded CMOS temperature sensor for RFID food monitoring application,‖ IEEE Journal of Solid-State Circuits, vol. 45, no. 6, pp. 1246–1255, Jun. 2010.

[2.24] P. Ituero, J.L. Ayala, M. Lopez-Vallejo, "A Nano-watt Smart Temperature Sensor for Dynamic Thermal management, " in IEEE Sensors Journal, vol.8, no.12, pp.2036-2043, Dec. 2008

[2.25] Kisoo Kim, Hokyu Lee, Sangdon Jung, Chulwoo Kim, "A 366kS/s 400uW 0.0013mm2 frequency-to-digital converter based CMOS temperature sensor utilizing multiphase clock,‖ in IEEE Custom Integrated Circuits Conf. ,pp.203-206, 13-16 Sept. 2009

Chapter 3

[3.1] W. H. Cheng and B. M. Baas, ‖ Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages,‖ in IEEE Int’l Symp. Circuits and Systems, pp. 1236-1239, June 2008.

[3.2] D. Markovic, C. C. Wang, L. P. Alarcon, L. T. Tsung, J. M. Rabaey,

"Ultralow-Power Design in Near-Threshold Region," in Proceedings of the IEEE , vol.98, no.2, pp.237-252, Feb. 2010

[3.3] S.K. Gupta, A. Raychowdhury, K. Roy ,"Digital Computation in Subthreshold Region for Ultralow-Power Operation: A Device–Circuit–Architecture Codesign Perspective," in Proceedings of the IEEE , vol.98, no.2, pp.160-190, Feb. 2010 [3.4] K. Itoh, "Adaptive circuits for the 0.5-V nanoscale CMOS era," in IEEE

International Solid-State Circuits Conference, pp.14-20, 8-12 Feb. 2009

118

[3.5] J. Kwong, Y. Ramadass, N. Verma, M. Koesler, K. Huber, H. Moormann, A.

Chandrakasan, "A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter," in IEEE International Solid-State Circuits Conference, pp.318-616, 3-7 Feb. 2008

[3.6] H. Shao, C. Y. Tsui and W. H. Ki,‖A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications,‖ in Int’l Symp. on Low Power Electronics and Design, pp. 298-303, Aug. 2007.

[3.7] H. Lhermet, C. Condemine, M. Plissonnier, R. Salot, P. Audebert, and M.

Rosset, ‖Efficient Power management Circuit: From Thermal Energy Harvesting to Above-IC Microbattery Energy Storage,‖ in IEEE Journal of Solid-State Circuits, vol. 43, pp. 246-254, Jan. 2008.

[3.8] A. L. Aita, M. A. P. Pertijs, and K. A. A. Makinwa, ―A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25 C (3_) from -70_C to 130_C,‖ in IEEE International Solid-State Circuits Conf. , pp. 342–343, 343a ,Feb. 2009.

[3.9] M. A. P. Pertijs, K. A. A. Makinwa, and J. H. Huijsing, ―A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1˚C from -55˚C to 125˚C,‖ IEEE Journal of Solid-State Circuits, vol. 40, no. 12, pp. 2805–2815, Dec. 2005.

[3.10] P. Chen, C. C. Chen; C. C. Tsai, W. F. Lu, ―A Time-to-Digital-Converter-Based CMOS Smart Temperature Sensor,‖ in IEEE Journal of Solid-State Circuits, vol. 40, no. 8, PP1642-1648, August 2005.

[3.11] P. Chen, C. C. Chen, Y. H. Peng, K. M. Wang, Y. S. Wang , "A Time-Domain SAR Smart Temperature Sensor With Curvature Compensation

119

and a 3σ Inaccuracy of −0.4°C ∼ +0.6°C Over a 0°C to 90°C Range," in IEEE Journal of Solid-State Circuits, vol.45, no.3, pp.600-609, March 2010

[3.12] E. Socher, S. M. Beer, and Y. Nemirovsky, ―Temperature sensitivity of SOI-CMOS transistors for use in uncooled thermal sensing,‖ in IEEE Transactions on Electron Devices, vol. 52, no. 12, pp. 2784–2790, Dec. 2005.

[3.13] Kisoo Kim, Hokyu Lee, Sangdon Jung, Chulwoo Kim, "A 366kS/s 400uW 0.0013mm2 frequency-to-digital converter based CMOS temperature sensor utilizing multiphase clock,‖ in IEEE Custom Integrated Circuits Conf. ,pp.203-206, 13-16 Sept. 2009

[3.14] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cambridge, U.K.: Cambridge Univ. Press, 1998.

[3.15] I. M. Filanovsky and A. Allam, ―Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits,‖ in IEEE Transactions on Circuits and Systems I, vol. 48, no. 7, pp. 876–884, Jul.

2001.

[3.16] Tae-Hyoung Kim, J. Keane, Hanyong Eom, C.H. Kim, , "Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design," in IEEE Transactions on VLSI Systems, vol.15, no.7, pp.821-829, July 2007

[3.17] H. Lakdawala, Y.W. Li, H. Lakdawala, A. Raychowdhury, G.Taylor, K.

Soumyanath, "A 1.05 V 1.6 mW, 0.45 ˚C 3σ Resolution ΣΔ Based Temperature Sensor With Parasitic Resistance Compensation in 32 nm Digital CMOS Process," in IEEE Journal of Solid-State Circuits, vol.44, no.12, pp.3621-3630, Dec. 2009

120

[3.18] P. Ituero, J.L. Ayala, M. Lopez-Vallejo, "A Nanowatt Smart Temperature Sensor for Dynamic Thermal Management," in IEEE Sensors Journal, vol.8, no.12, pp.2036-2043, Dec. 2008

Chapter 4

[4.1] A. L. Aita, M. A. P. Pertijs, and K. A. A. Makinwa, ―A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25˚C (3_) from -70_C to 130_C,‖ in IEEE International Solid-State Circuits Conf. , pp. 342–343, 343a.,Feb. 2009,

[4.2] M. A. P. Pertijs, K. A. A. Makinwa, and J. H. Huijsing, ―A CMOS smart temperature sensor with a 3_ inaccuracy of ±0.1˚C from -55˚C to 125˚C,‖ IEEE Journal of Solid-State Circuits, vol. 40, no. 12, pp. 2805–2815, Dec. 2005.

[4.3] P. Chen, C. C. Chen; C. C. Tsai, W. F. Lu, ―A Time-to-Digital-Converter-Based CMOS Smart Temperature Sensor,‖ in IEEE J. Solid-State Circuits, vol. 40, no. 8, PP1642-1648, August 2005.

[4.4] P. Chen, C. C. Chen, Y. H. Peng, K. M. Wang, Y. S. Wang , "A Time-Domain SAR Smart Temperature Sensor With Curvature Compensation and a 3σ Inaccuracy of −0.4°C ∼ +0.6°C Over a 0°C to 90°C Range," in IEEE Journal of Solid-State Circuits, vol.45, no.3, pp.600-609, March 2010

[4.5] K. Woo, S. Meninger, T. Xanthopoulos, E. Crain, D. Ha, and D. Ham,

―Dual-DLL-based CMOS all-digital temperature sensor for microprocessor thermal monitoring,‖ in IEEE International Solid-State Circuits Conf., pp.

68–69, Feb. 2009.

121

[4.6] Kisoo Kim, Hokyu Lee, Sangdon Jung, Chulwoo Kim, "A 366kS/s 400uW 0.0013mm2 frequency-to-digital converter based CMOS temperature sensor utilizing multiphase clock,‖ in IEEE Custom Integrated Circuits Conf. ,pp.203-206, 13-16 , Sept. 2009

[4.7] H. Lakdawala, Y.W. Li, H. Lakdawala, A. Raychowdhury, G.Taylor, K.

Soumyanath, "A 1.05 V 1.6 mW, 0.45 ˚C 3σ Resolution ΣΔ Based Temperature Sensor With Parasitic Resistance Compensation in 32 nm Digital CMOS Process," in IEEE Journal of Solid-State Circuits, vol.44, no.12, pp.3621-3630, Dec. 2009

Chapter 5

[5.1] A. W. Topol et al., ―Three-dimensional integrated circuits,‖ IBM J. Res. Dev., vol. 50, no. 4/5, pp. 491–506, Jul./Sep. 2006.

[5.2] J. A. Burns et al., ―A wafer-scale 3D circuit integration technology,‖ in IEEE Trans. Electron Dev., vol. 53, no. 10, pp. 2507–2516, Oct. 2006.

[5.3] S. M. Jung,―Highly cost effective and high performance 65 nm S3 (stacked single-crystal Si) SRAM technology with 25F2, 0.16 μm2 cell and doubly stacked SSTFT cell transistors for ultra high density and high speed applications,‖ in Symp. VLSI Technology Dig. Tech. Papers, pp. 220–221, 2005.

[5.4] K. T. Park et al., ―A 45 nm 4 Gb 3Dimensional double-stacked multi-level NAND flash memory with shared bitline structure,‖ in IEEE International Solid-State Circuits Conf., pp. 510–511, 2008.

[5.5] J. Burns et al., ―Three-dimensional integrated circuits for low-power,

122

high-bandwidth systems on a chip,‖ in IEEE International Solid-State Circuits Conf., pp. 268–269, 2001.

[5.6] W. R. Davis et al., ―Demystifying 3D ICs: The pros and cons of going vertical,‖

in IEEE Design & Test of Computers, vol. 22, no. 6, pp. 498–510, Nov./Dec.

2005.

[5.7] K. Puttaswamy et al., ―Implementing caches in a 3D technology for high performance processors,‖ in Proc. IEEE Int. Conf. Computer Design, pp.

525–532, 2005.

[5.8] C. C. Liu et al., ―Bridging the processor-memory performance gap with 3D IC technology,‖ in IEEE Design & Test of Computers, vol. 22, no. 6, pp. 556–564, Nov./Dec. 2005.

[5.9] P. G. Emma et al., ―Is 3D chip technology the next growth engine for performance improvement?,‖ in IBM J. Res. Dev., vol. 52, no. 6, pp. 541–552, Nov. 2008.

[5.10] G. Van der Plas et al., ―Design Issues and Considerations for Low- Cost 3D TSV IC Technology,”in IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp.293-pp.307, Jan, 2011.

[5.11] H. Oprins et al., ―Fine grain thermal modeling of 3D stacked structures,‖ in Proc. THERMINIC, pp. 45–49, 2009.

[5.12] C. Torregiani et al., ―Thermal analysis of hot spots in advanced 3Dstacked structures,‖ in Proc. THERMINIC, pp. 56–60, 2009.

[5.13] H. Oprins et al., ―Fine grain thermal modeling and experimental validation

123

of 3D-ICs,‖ in Microelectronics J., submitted for publication, Nov. 2009.

[5.14] C. Torregiani et al., ―A wafer-scale 3D circuit integration technology,‖ in Proc. EPTC, pp. 131–136, 2009.

[5.15] David Atienza et al ―3D Stacked Architectures with Interlayer Cooling (CMOSAIC),‖ É cole Polytechnique Fédérale De Lausanne, [Online]. Available:

http://esl.epfl.ch/page-42448-en.html

[5.16] Jae-Mo Koo et al ‗‘Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures,‘‘ in Journal of Heat Transfer, vol. 127, pp. 49-58, Jan. 2005.

[5.17] Minchen Chang et al "Impact of gate-induced drain leakage on retention time distribution of 256 Mbit DRAM with negative wordline bias," in IEEE Trans. Electron Devices , vol.50, no.4, pp. 1036- 1041, April 2003.

[5.18] Chan-Kyung Kim, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun, "CMOS temperature sensor with ring oscillator for mobile DRAM self-refresh control," in IEEE Int’l Symp. Circuits and Systems, pp.3094-3097, May 2008.

[5.19] Uksong Kang et al "8 Gb 3D DDR3 DRAM Using Through-Silicon-Via Technology,― IEEE Journal of Solid-State Circuits, vol.45, no.1, pp.111-119, Jan.

2010.

[5.20] P. Jacob, A. Zia, O. Erdogan, P. M. Belemjian, J.-W. Kim, M. Chu, R. P.

Kraft, J. F. McDonald, and K. Bernstein, ―Mitigating memory wall effects in high clock rate and multi-core CMOS 3D ICs – processor memory stacks,‖ in Proceedings of the IEEE JPROC, vol. 97, no. 1, pp. 108-122, Jan. 2009

124

Vita

林上圓 Shang-Yuan Lin

PERSONAL INFORMATION

Birth Date: Aug. 12, 1987

Birth Place: Kaohsiung, TAIWAN.

E-Mail Address: exad7758@gmail.com

EDUCATION

07/2009 – 09/2011 M.S. in Electronics Engineering, National Chiao Tung University Thesis: Ultra-low Dynamic Voltage Scaling Fequency-Ratio-Based PVT Sensor Design and Applications

09/2005 – 06/2009 B.S. in Engineering Science, National Cheng Kung University.

PUBLICATIONS

Ming-Hung Chang, Jung-Yi Wu, Wei-Chih Hsieh, Shang-Yuan Lin, You-Wei Liang, and Wei Hwang ―High Efficiency Power Management System for Solar Energy Harvesting Applications‖ Asia Pacific Conference on Circuits and Systems, Dec.

2010.

PATENTS

Shang-Yuan Lin, Shi-Wen Chen ,Ming-Hung Chang, Wei Hwang and Kun-Ru Cai

―Fully On-Chip All Digital Process Invariant Temperature Sensor‖ US/TW Patent Pending. (pending)

相關文件