• 沒有找到結果。

原子層沉積高介電係數氧化鋁閘極介電層之鍺金氧半場效電晶體電物性研究

N/A
N/A
Protected

Academic year: 2021

Share "原子層沉積高介電係數氧化鋁閘極介電層之鍺金氧半場效電晶體電物性研究"

Copied!
182
0
0

加載中.... (立即查看全文)

全文

(1)

國 立 交 通 大 學

電子工程學系 電子研究所

碩 士 論 文

原子層沉積高介電係數氧化鋁閘極介電層之鍺金氧半

場效電晶體電物性研究

Electrical and physical characterization of

Ge-MOSFETs with atomic-layer-deposited Al

2

O

3

high-κ

gate dielectric

生 : 劉峻丞

指 導 教 授 : 張俊彥 博士

中 華 民 國 九 十 六 年 八 月

(2)

原子層沉積高介電係數氧化鋁閘極介電層之鍺金氧半

場效電晶體電物性研究

Electrical and physical characterization of

Ge-MOSFETs with atomic-layer-deposited Al

2

O

3

high-κ

gate dielectric

研 究 生:劉峻丞 Student : Jun-Cheng Liu

指導教授:張俊彥 博士

Advisor : Dr. Chun-Yen Chang

國 立 交 通 大 學

電子工程學系 電子研究所碩士班

碩 士 論 文

A Thesis

Submitted to Department of Electronics Engineering

and Institute of electronics

College of Electrical and Computer Engineering

National Chiao Tung University

In Partial Fulfillment of the Requirements

For the Degree of Master

in

Electronics Engineering

August 2007

Hsinchu, Taiwan, Republic of China

(3)

原子層沉積高介電係數氧化鋁閘極介電層之鍺金氧半

場效電晶體電物性研究

研 究 生:劉峻丞 指導教授:張俊彥 博士

國 立 交 通 大 學

電子工程學系 電子研究所 碩士班

摘 要

我們已經利用原子層沉積技術 ( Atomic layer depositon, ALD ) 成功地製作

出了以鍺為基版的金氧半場效電晶體;並且,透過電容結構 ( Pt / ALD Al2O3 /

p-type Ge / Al gate stacks ) 電物性的研究分析,我們對於製作過程中鍺揮發的機

制有了相當地了解。在我們所使用的原子層沉積系統裡,選擇三甲基鋁和水為金 屬源和氧化劑。氧化鋁是一個相當有潛力的氧化物,它擁有和二氧化矽相當類似 的特性以及大於二氧化矽約2.5倍的介電係數。我們藉由寫下的一條與反應物表 面覆蓋程度和表面錯合物反應機率相關的式子模擬了一套原子層沉積系統可達 到的成長速率。這條式子,對於我們實驗結果裡相對於已經發表的數據有較高的 成長速率以及較高的最高速率沉積溫度做了合理的解釋。除了鍺揮發機制,我們 也研究出了原子層沉積薄膜裡殘留物和捕捉缺陷 ( trap ) 以及氧化層固定電荷

(4)

種理論− 雙頻 ( High-Low frequency, HLF ) 以及電導 ( Conductance, G-V ) −所 得到的介面態位密度 ( interface state density ) 計算結果。經由這些在鍺電容結構 電物性上的研究分析結果,我們發現一個不需任何後續熱處理和事前表面鈍化也 可以在鍺基板上沉積少量鍺嵌入 ( Ge-incorporated ) 以及近乎當量化原子層沉 積薄膜的溫度窗口 ( 大約在140 ~ 170 °C之間 )。 最後,我們陳列這些關於鍺基板金氧半場效電晶體的基本電性量測和指標參 數粹取結果。高源集極串聯電阻、高介面態位密度、高摻雜物流失、和高接面漏 電流是當處理這樣棘手基板時會遇到的主要議題。經由這些所粹取的指標性參 數,在縮短通道長度的同時,我們看到了短通道效應 ( short channel effect, SCE ) 和反向短通道效應 ( reverse short channel effect, SCE )。壓軸出現的是鍺基板上等 效載子遷移率 ( effective mobility, μeff ) 以及場效載子遷移率 ( field effect mobility,

(5)

Electrical and physical characterization of

Ge-MOSFETs with atomic-layer-deposited Al

2

O

3

high-κ

gate dielectric

Student : Jun-Cheng Liu Advisor : Dr. Chun-Yen Chang

Department of Electronics Engineering and Institute of Electronics

National Chiao Tung University

Abstract

We had succeeded in fabricating a Ge p-MOSFET with Al2O3 high-k gate

dielectric deposited by an atomic layer deposition (ALD) system and figured out the whole story about the mechanism of Ge out-diffusion through the studies of Pt / ALD Al2O3 / p-type Ge / Al gate stacks by physical and electrical analyses in this research.

In this ALD system, tri-methyl-aluminum (TMA), Al(CH3)3, and H2O were chosen as

the metal source and oxidant. Al2O3 is a very promising material shows similar

properties and about 2.5 times dielectric constant of SiO2. We wrote down an equation

consists of surface coverage of the reactants and probability of formation of the TMA- and H2O-related surface complexes to simulate the growth rate of an ALD system. By

use of this equation, we explained reasonably the somewhat higher growth rate and highest growth rate temperature (THGR) in comparison to that reported in literatures.

(6)

Beside the mechanism of Ge out-diffusion, we also studied out the relationship between the residues and the type of the trap or the fixed oxide charge in an ALD film. We would show the consistent results of calculation of interface state density by two theories High-Low frequency (HLF) and Conductance (G-V) methods. Through the studies of physical and electrical characteristics of the Ge-MOSCAPs, we found a window of temperature (about 140 ~ 170 °C) to deposit a less Ge-incorporated and

almost stoichiometric ALD film on Ge without any post thermal treatment and prior surface passivation.

Finally, we showed those measurements of essential electrical performance and extraction of indicative parameters of the Ge p-MOSFETs. High source drain series resistance, interface state density, dopant lost, and junction leakage are the major issues when deal with such a nasty substrate. From the extracted parameters, we saw the short channel effect (SCE) and reverse short channel effect (RSCE) while decreasing the channel length. Effective mobility (μeff) and Field effect mobility (μEF)

would also present in comparison to the universal curve related to Si-based transistors at last.

(7)

誌 謝

在這兩年的碩士生涯中,首先我要感謝我的指導教授 張俊彥博士!由於老 師在環境以及研究上賦予相當的空間舒適以及學術自由,讓我可以在這裡盡情揮 灑青春。老師豐沛的學術以及人文素養給了我很大的幫助及啟發,讓我受益良多 並且更加成長。此外,我要感謝簡昭欣博士,謝謝您提供我諸多的專業指導及協 助,並不厭其煩地提供我解決實驗上以及生活上問題的建議,讓我能順利地完成 研究。在這裡學生對這兩位老師致上內心最誠摯的敬意與謝意! 兆欽學長,謝謝你指導小劉成為可以獨立設計實驗、整理數據、找資料、以 及數據分析的能力。每次和你討論,我都有相當的收穫和震撼。另外,很謝謝你 的舞伴雲雲每次給我甜美的笑容和問候,讓我感到相當溫馨。 很感謝張老師以及簡老師兩個團隊的全體同胞,以及儀器中心的同仁!張老 師團隊裡美麗可愛的伊喬皇后、帥帥的宗熺學長、酷酷的宗霖學長、憨厚的漢譽 學長、時髦的文政學長、歡喜的聖懿學長、神秘又親和的心卉學姊、英挺的怡誠 學長、幽默搞笑的緯仁學長、紳士般的立偉學長、幫我好多的哲弘學長、有趣的 昭正(求求你)學長、氣質的而康、天外飛來一筆的彥廷、親和力十足的詩國、超 有型的貴宇(塞哥、英文名子 Mike Silence )、色色的方方土、以及常陪伴我且帶 來歡樂的大夜班同學 ─ 義氣的哲榮、帥氣有型的勝杰、超酷有型的小 jo、內” 歷”深厚的師父、風趣可愛善解人意的峻豪(土撥)學長、笑死我以及才藝驚人的 弘斌(卡丁神)學長。簡老師團隊裡敦厚貼心且平易近人的明瑞學長、不拘小節十 分大方的紹明學長、好相處的沈志彥學長、老實搞笑的治國、超義氣幽默脫線的 競之(雄哥、熊熊)、勤快風趣的宜憲(阿壘)、可愛親和的妍心(機器娃娃)、氣質帥 酷的家豪、酷酷的猛飛、傻大姐般的邵華、禮貌可愛的宇彥、以及常陪伴我且帶 來歡樂的腦殘組織 ─ 擁有發出短促高頻”幹”能力的宣凱、機伶風趣點我死穴的

(8)

效諭(rain)、搞笑脫線的欣哲、一整個好笑的敬倫、以及一整根的弘森。在儀器 中心的同仁裡 ─ 蕭建男 博士,很謝謝您的指導、以及幫忙,謝謝您!志忠學 長,謝謝你在 ALD 專業上的指導、資源的提供、以及做事態度的分享,謝謝您! 鐵雄學長,謝謝你願意分享你的工作以及人生經驗,有你在,做實驗一點都不無 聊,謝謝您!劉帥學長,謝謝你在 XRR 量測上的指導、資料的提供,很謝謝你 的幫忙,沒有你,我的論文會失去好多色彩,謝謝您!致傑學長,你的搞笑幽默 讓我 19 了!謝謝你常陪我做實驗、幫我照 TEM、帶來歡樂,祝福妳趕快脫離單 身吧!每次看到你我就會 19 起來!謝謝您!健穎學長,你我本素昧平生,很謝 謝你 AFM 量測上大力挺護,謝謝您!彬哥,謝謝您帶我去量 TEM,謝謝您!李 博,謝謝你在議會上的陪伴、指導,你的說話方式讓人覺得很輕鬆、沒有壓迫, 謝謝您! 世璋學長,很感謝你指導我量測、陪伴我量測、分享一切生活上的態度 、陪我上台北品茶、陪我做身體健康檢查、每一次午餐、每一次晚飯、一起找的 那位可愛女孩、那道法式薄荷小黃瓜肉醬拌飯、德國香腸土司捲、那樣好手藝的 咖啡、就算你再怎麼繁忙、再怎麼心情不好,這些溫暖了我不少。你改變了我好 多!謝謝您!沒有你,我無法這樣釋懷!祝福你能一切順心、順利!謝謝您! 還有我的國小同學、大學同學、好朋友,謝謝你們這些日子裡,關心我、擔 心我,謝謝您們! 謝謝你們這些人這些日子的關心以及指導,我很感動!很依依不捨!謝謝您 們! 最後我要深深地感謝我的家人! 小宋,謝謝妳的陪伴!只有在妳的身邊,我才有辦法卸下壓力。這些年來與 你分享的一切歡樂、面對的困難,讓我成長許多。未來的日子,也請多多指教了! 媽,謝謝你不時打來電話說冷笑話給我聽,讓我感動不已!這些年來和你相 處融洽,感謝你用生命在教育我! 爸,無論你在哪邊,做什麼,希望你一切順利!

(9)

ㄚ貝,謝謝你對這個家的貢獻,我不在的日子裡,辛苦你了!有你我才能無 後顧之憂,在這裡受教育。 妹,謝謝妳這麼挺我這個哥哥! 你們是我生命中最大的支柱,有你們,我的生命才能有意義! 劉峻丞 於 新竹交通大學 2007 年

(10)

Contents

Abstract (Chinese) --- i

Abstract (English) --- iii

Acknowledgements --- v

Contents --- viii

Table Captions --- xii

Figure Captions --- xiv

Chapter 1

Introduction

1-1 General Background --- 1

1-2 Motivation --- 5

1-3 Organization of This Thesis --- 8

Chapter 2

Physical characteristics of

atomic-layer-deposited Al

2

O

3

on Ge substrate

2-1 Introduction --- 15

(11)

2-3 Results and Discussion --- 21 2-3-1 The growth mechanism and the surface morphology of the post-deposited Al2O3 films --- 21

2-3-2 Analyses of Angle-Resolved X-ray Photoelectron Spectroscopy (AR-XPS) and Secondary Ion Mass Spectroscopy (SIMS) --- 29 2-3-3 Analyses of Grazing-Incidence X-ray Reflectivity --- 36 2-4 Summary --- 40

Chapter 3

Electrical characteristics of

atomic-layer-deposited Al

2

O

3

on Ge substrate

3-1 Introduction --- 85 3-2 Experimental Procedures --- 87 3-3 Results and Discussion --- 89 3-3-1 The effects of various thermal treatments on the electrical performance

of the gate stacks with ALD Al2O3 films deposited at 200 °C --- 89

3-3-2 The effects of various thermal treatments on the electrical performance of the gate stacks with ALD Al2O3 films deposited at R.T. (~ 50 °C), 100 °C, 200 °C, and 300 °C --- 91

3-3-3 The powerful Dit calculation method Conductance ( G-V ) method --- 93 3-4 Summary --- 96

(12)

Chapter 4

Electrical characteristics of Ge p-MOSFETs with

atomic-layer-deposited Al

2

O

3

gate dielectric and boron doped

P-N junction

4-1 Introduction --- 116 4-2 Experimental Procedures --- 118 4-3 Results and Discussion --- 120 4-3-1 The Id – Vg , Id – Vd , and Ijunc – Vjunc essential electrical performance of

the Ge p-MOSFETs with various implantation and activation conditions --- 120 4-3-2 The Vth , RSD , ΔL , S.S. , μeff , and μFE extracted from the essential

electrical performance of the Ge p-MOSFETs with various implantation and activation conditions --- 121 4-4 Summary --- 126

Chapter 5

Conclusions and Suggestions for Future Work

5-1 Conclusions --- 141 5-2 Suggestions for Future Work --- 144

(13)

Publication list Vita (in Chinese)

(14)

Table Captions

Chapter 1

Table 1-1. International Technology Roadmap for Semiconductors. 2006 Update Process Integration, Devices, and Structures High-Performance Logic Technology Requirements—Near-term Table 1-2. Criteria nad Material Requirement of High-k Gate Dielectrics.

Table 1-3. The calculated conduction band offsets for different high-k dielectrics on variety substrate materials.

Chapter 2

Table 2-1. The coordinates of the points (star mark) indicated in Fig. 2-11. Table 2-2. The detected elements and the calculated detectable depth ~ 3 - 5 λ.

Effective detectable depth considers the take-off angle, 60°, of the measurements.

Table 2-3. The parameters set for peak fitting of the Ge 3d AR-XPS spectra. Table 2-4. Calculated elementary ratio between Ge (by Ge 2p3 XPS spectra), Al

(by Al 2p XPS spectra), and O (by O 1s XPS spectra) of the ALD films deposited at different temperature and subjected to different thermal treatment.

(15)

Table 2-5. The standard state database (for temperature of 298.15 K) of the elements formed possibly during the ALD process.

ΔHf° : Standard enthalpy of formation ΔGf° : Gibb free energy of formation

S° : Standard molar entropy

Table 2-6. Grazing-Incidence X-Ray Reflectivity (GIXRR) fitting results of the as-deposited samples grown at various temperatures by the professional XRR fitting software Mercury.

Chapter 4

Table 4-1. The conditions of dopant (boron) activation of the P-N diodes.

Table 4-2. The extraction values from the Id - Vg electrical performance of the Ge

(16)

Figure Captions

Chapter 1

Fig. 1-1 Room temperature properties of semiconductors.

Fig. 1-2 Bandgap and band alignment of high-k gate dielectrics with respect to silicon.

Chapter 2

Fig. 2-1 The (a) 1st step and (b) 2nd step of the ALD deposition mechanism. Fig. 2-2 The 3rd step of the ALD deposition mechanism.

Fig. 2-3 The (a) 4th step and (b) 5th step of the ALD deposition mechanism. Fig. 2-4 The 6th step of the ALD deposition mechanism.

Fig. 2-5 The 7th step of the ALD deposition mechanism. Fig. 2-6 MOSCAP fabrication flow chart.

Fig. 2-7 Deposition cycles v.s. physical thickness of Al2O3 films measured by

an Ellipsometer system with a linear fitting curve (guide for eyes). Fig. 2-8 ALD growth mechanism as a function of deposition temperature with

a simulation fitting curve (guide for eyes).

Fig. 2-9 High Resolution Transmission Electron Microscopy (HRTEM)

(17)

temperatures, (a) 50°C, (b) 100°C, (c) 200°C, (d) 300°C.

Fig. 2-10 Electron Dispersive Spectra (EDS), associated with the HRTEM images showed in Fig. 2-9, of the Al2O3 films deposited at

temperatures, (a) 50°C, (b) 100°C, (c) 200°C, (d) 300°C.

Fig. 2-11 Equation (2.12), the dash-dot-dot curve, and several special points, solid star, related to particular order of values of ΔUS. The region of

oblique lines indicates the reasonable region of complete-dissociated temperature.

Fig. 2-12 Simulation of the effects of different effective-adsorption-constant, αO,

on the growth rate of ALD Al2O3 films. (a) the curves related to

different αO (2-D growth condition), (b) the curve of P (binding) while

setting ∆US/k = 600 K, (c) the curves, combing (a) with (b), of

simulated growth rate of ALD Al2O3 films (the dash lines represent

the curves in (a), just guide for eyes), (d) the first differentiation of the solid curves showed in (c) (the dash line indicates the value of zero differentiation, and the intersection point of this line and a solid line corresponds to the HRG point).

Fig. 2-13 Simulation of the effects of different independent-constant, C, on the growth rate of ALD Al2O3 films. (a) the curves related to different C

(2-D growth condition), (b) the curve of P (binding) while setting ∆US/k = 600 K, (c) the curves, combing (a) with (b), of simulated

growth rate of ALD Al2O3 films (the dash lines represent the curves in

(18)

showed in (c) (the dash line indicates the value of zero differentiation, and the intersection point of this line and a solid line corresponds to the HRG point).

Fig. 2-14 Simulation of the effects of different complete-dissociated

temperature, TO, on the growth rate of ALD Al2O3 films. (a) the curves

related to different TO (2-D growth condition), (b) the curve of P

(binding) while setting ∆US/k = 600 K, (c) the curves, combing (a)

with (b), of simulated growth rate of ALD Al2O3 films (the dash lines

represent the curves in (a), just guide for eyes), (d) the first differentiation of the solid curves showed in (c) (the dash line indicates the value of zero differentiation, and the intersection point of this line and a solid line corresponds to the HRG point).

Fig. 2-15 Simulation of the effects of different difference-of-internal-energy, ∆US, on the growth rate of ALD Al2O3 films. (a) the curves related to

different ∆US (2-D growth condition), (b) the curve of C·θ while

setting C = 5.2, αO = 0.0023, and TO = 800, (c) the curves, combing

(a) with (b), of simulated growth rate of ALD Al2O3 films (the dash

lines represent the curves in (a), just guide for eyes), (d) the first differentiation of the solid curves showed in (c) (the dash line indicates the value of zero differentiation, and the intersection point of this line and a solid line corresponds to the HRG point).

Fig. 2-16 Atomic Force Microscopy (AFM) surface morphology images of the samples corresponding to the deposition temperatures, (a) 50°C, (b)

(19)

100°C, (c) 200°C, (d) 300°C. Fig. 2-17 AR-XPS measurement setup.

Fig. 2-18 X-ray Photoelectron Inelastic Mean Free Path v.s. Electron Kinetic Energy and the Universal Curve (guide for eyes).

Fig. 2-19 AR-XPS spectra of the 60 cycles ALD films deposited at R.T. (~50°C) with different thermal treatment for 30 sec; (a) as-depo, (b) PMA 400

°C, and (c) PMA 600°C.

Fig. 2-20 (a) Ge 2p3, (b) Al 2p, and (c) O 1s AR-XPS spectra of the 60 cycles ALD films deposited at R.T. (~50°C) with different thermal treatment for 30 sec.

Fig. 2-21 AR-XPS spectra of the 60 cycles ALD films deposited at 100°C with different thermal treatment for 30 sec; (a) as-depo, (b) PMA 400°C, and (c) PMA 600°C.

Fig. 2-22 (a) Ge 2p3, (b) Al 2p, and (c) O 1s AR-XPS spectra of the 60 cycles ALD films deposited at 100°C with different thermal treatment for 30 sec.

Fig. 2-23 AR-XPS spectra of the 60 cycles ALD films deposited at 200°C with different thermal treatment for 30 sec; (a) as-depo, (b) PMA 400°C, and (c) PMA 600°C.

Fig. 2-24 (a) Ge 2p3, (b) Al 2p, and (c) O 1s AR-XPS spectra of the 60 cycles ALD films deposited at 200°C with different thermal treatment for 30 sec.

(20)

different thermal treatment for 30 sec; (a) as-depo, (b) PMA 400°C, and (c) PMA 600°C.

Fig. 2-26 (a) Ge 2p3, (b) Al 2p, and (c) O 1s AR-XPS spectra of the 60 cycles ALD films deposited at 300°C with different thermal treatment for 30 sec.

Fig. 2-27 Calculated elementary ratio between Ge (by Ge 2p3 XPS spectra), Al (by Al 2p XPS spectra), and O (by O 1s XPS spectra) of the ALD films deposited at (a) R.T. (~ 50°C), (b) 100°C, (c) 200°C, and (d) 300°C; and subjected to different thermal treatment.

Fig. 2-28 Calculated (a) O 1s and (b) Ge 2p3 ratio of the ALD films. Fig. 2-29 AR-XPS intensity ratio between Ge and GeOx .

Fig. 2-30 Relative peak shift of (a) Al 2p, (b) O 1s, and (c) Ge 2p3 AR-XPS spectra.

Fig. 2-31 Energy spacing between Al 2p and O 1s AR-XPS spectra peak

position.

Fig. 2-32 Energy spacing v.s. various Al2O3 phase. Inset shows the definition of

energy spacing of binding energy between Al 2p and O 1s AR-XPS spectra peak position.

Fig. 2-33 Elements distribution, measured by Secondary Ion Mass Spectroscopy (SIMS), of the ALD films deposited at (a) R.T. (~ 50°C), (b) 100°C, (c) 200°C, and (d) 300°C.

Fig. 2-34 Measured (a) O and (b) C Secondary Ion Mass Spectroscopy (SIMS) distribution in the ALD films deposited at R.T. (~ 50°C), 100°C,

(21)

200°C, and 300°C. The solid lines indicate the film thicknesses.

Fig. 2-35 Grazing-Incidence X-Ray Reflectivity (GIXRR) measurement and fitting results of the as-deposited samples grown at (a) R.T. (~ 50°C), (b) 100°C, (c) 200°C, and (d) 300°C.

Fig. 2-36 Collection of the Grazing-Incidence X-Ray Reflectivity (GIXRR) measurement results of the samples deposited at R.T. (~50°C), 100°C, 200°C, and 300°C.

Fig. 2-37 Illustration of the XRR modeling structure and result of the film deposited at 50°C.

Fig. 2-38 Illustration of the XRR modeling structure and result of the film deposited at 100°C.

Fig. 2-39 Illustration of the XRR modeling structure and result of the film deposited at 200°C.

Fig. 2-40 Illustration of the XRR modeling structure and result of the film deposited at 300°C.

Fig. 2-41 Collection of all the XRR modeling structures and results of the film deposited at 50 ~ 300°C.

Fig. 2-42 Collection of the GIXRR curve fitting parameters of the ALD films deposited at R.T. (~ 50°C), 100°C, 200°C, and 300°C.

Fig. 2-43 Comparison of the GIXRR fitting density of the Al2O3 films deposited

(22)

Chapter 3

Fig. 3-1 The (a) ~ (d) C-V and (e) I-V electrical performance of the 80 cycs. ALD Al2O3 films deposited at 200°C with different thermal treatment;

(a) PDA 400°C + PMA 400°C, (b) No PDA + PMA 400°C, (c) No PDA + PMA 500°C, (d) No PDA + PMA 600°C, for 30 sec.

Fig. 3-2 The (a) 100 kHz C-V and (b) 1 MHz C-V electrical performance of the 80 cycs. ALD Al2O3 films deposited at 200°C with various thermal

treatments.

Fig. 3-3 The (a) 1 MHz flat band voltage and (b) 1 MHz hysteresis of the corresponding C-V electrical performance of the 80 cycs. ALD Al2O3

films deposited at 200°C with various thermal treatments.

Fig. 3-4 The (a) ~ (d) C-V and (e) I-V electrical performance of the 80 cycs. ALD Al2O3 films deposited at (a) R.T. (~ 50°C), (b) 100°C, (c) 200°C,

and (d) 300°C; and all subjected to PMA 400°C thermal treatment. Fig. 3-5 The (a) ~ (d) C-V and (e) I-V electrical performance of the 80 cycs.

ALD Al2O3 films deposited at (a) R.T. (~ 50°C), (b) 100°C, (c) 200°C,

and (d) 300°C; and all subjected to PMA 600°C thermal treatment. Fig. 3-6 Collection of the (a) (b) 100 kHz and (c) (d) 1 MHz C-V electrical

performance of the 80 cycs. ALD Al2O3 films deposited at R.T. (~

50°C), 100°C, 200°C, and 300°C; and subjected to (a) (c) PMA 400°C and (b) (d) 600°C thermal treatment.

(23)

Fig. 3-7 The (a) 1 MHz flat band voltage and (b) 1 MHz hysteresis of the corresponding C-V electrical performance of the 80 cycs. ALD Al2O3

films deposited R.T. (~ 50°C), 100°C, 200°C, and 300°C with PMA 400°C and 600°C thermal treatments.

Fig. 3-8 Capacitance equivalent thickness (CET) v.s. gate leakage current density at Vg = Vfb -1.5 V. One kind of simple and color represent one

kind of deposition temperature and thermal treatment, respectively. Fig. 3-9 Dit v.s. position in the Ge bandgap near the substrate surface.

Interface state density (Dit) was calculated by the High-Low frequency method from the C-V characteristics showed in Fig. 3-6 (a) (c).

Fig. 3-10 Calculated <GP>/ω, by 1 kHz ~ 1 MHz C-V and G-V electrical

performance, of the 60 cycs. ALD Al2O3 films deposited at (a) R.T. (~

50°C), (b) 100°C, (c) 200°C, and (d) 300°C; and all subjected to PMA 400°C thermal treatment. The symbols and solid lines represent the calculated and simulated data, respectively.

Fig. 3-11 Calculated <GP>/ω, by 1 kHz ~ 1 MHz C-V and G-V electrical

performance, of the 60 cycs. ALD Al2O3 films deposited at (a) R.T. (~

50°C), (b) 100°C, (c) 200°C, and (d) 300°C; and all subjected to PMA 600°C thermal treatment. The symbols and solid lines represent the calculated and simulated data, respectively.

Fig. 3-12 Collection of the calculated <GP>/ω curves (for Vg = Vth) showed in

(24)

symbols and solid lines represent the calculated and simulated data, respectively.

Fig. 3-13 Dit v.s. Vg - Vth for the 60 cycs. ALD Al2O3 films subjected to (a) PMA

400°C and (b) PMA 600°C. Interface state density (Dit) was calculated by the G-V method from the calculated <GP>/ω curves

showed in (a) Fig. 3-10 and (b) Fig. 3-11.

Fig. 3-14 The multi-frequency C-V electrical performance of the 60 cycs. ALD Al2O3 films deposited at 100°C (a) without thermal treatment

(as-depo.) and (b) with 300°C Forming Gas Annealing (FGA).

Fig. 3-15 Calculated <GP>/ω, by 1 kHz ~ 1 MHz C-V and G-V electrical

performance, of the 60 cycs. ALD Al2O3 films deposited at 100°C (a)

without thermal treatment and (b) with FGA 300°C. The symbols and solid lines represent the calculated and simulated data, respectively. Fig. 3-16 Dit v.s. Energy from Ge mid-gap near the substrate surface for the 60

cycs. ALD Al2O3 films deposited at 100°C (a) without thermal

treatment and (b) with FGA 300°C. Interface state density (Dit) was calculated by the G-V method from the calculated <GP>/ω curves

showed in Fig. 3-15.

Fig. 3-17 Calculated <GP>/ω (for Vg = Vth), by 1 kHz ~ 1 MHz C-V and G-V

electrical performance, of the 60 cycs. ALD Al2O3 films deposited at

100°C with various thermal treatment. The symbols and solid lines represent the calculated and simulated data, respectively.

(25)

Fig. 3-18 Dit v.s. Vg - Vth for the 60 cycs. ALD Al2O3 films deposited at 100°C

without various thermal treatment. Interface state density (Dit) was calculated by the G-V method from the calculated <GP>/ω curves

showed in Fig. 3-10, 3-11, and 3-15.

Fig. 3-19 Trap analyses of the 60 cycs. ALD Al2O3 films deposited at (a) R.T. (~

50°C), (b) 100°C, (c) 200°C, and (d) 300°C; and all subjected to PMA 400°C thermal treatment. The sweep voltage from the flat band voltage, VFB, increases by 0.5 V (or -0.5 V) for every times until the

absolute value of the sweep range bigger than 3.0 ~ 4.5 V.

Fig. 3-20 Trap analyses of the 60 cycs. ALD Al2O3 films deposited at (a) R.T. (~

50°C), (b) 100°C, (c) 200°C, and (d) 300°C; and all subjected to PMA 600°C thermal treatment. The sweep voltage from the flat band voltage, VFB, increases by 0.5 V (or -0.5 V) for every times until the

absolute value of the sweep range bigger than 3.0 ∼ 4.5 V.

Chapter 4

Fig. 4-1 MOSFET (with P-N junction) fabrication flow chart.

Fig. 4-2 The IdVg electrical performance of the Ge p-MOSFETs with dopant

implantation energy (a) 30 keV and (b) 60 keV. Gate length (L) = 10

(26)

Fig. 4-3 The IdVd electrical performance of the Ge p-MOSFETs with dopant

implantation energy (a) (b) 30 keV and (c) (d) 60 keV. Gate length (L) = 10 μm and gate width (w) = 100 μm.

Fig. 4-4 The P-N diode IjuncVjunc electrical performance of the Ge

p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV. Gate length (L) = 10 μm and gate width (w) = 100 μm.

Fig. 4-5 The channel length dependent IdVg electrical performance of the

550°C activated Ge p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV . Gate width (w) = 100 μm.

Fig. 4-6 The channel length dependent IdVd electrical performance of the

550°C activated Ge p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV. Gate width (w) = 100 μm.

Fig. 4-7 The (a) IdVg , (b) IdVd , and (c) IjuncVjunc electrical performance

of the 550°C activated Ge p-MOSFETs with dopant implantation energy 30 keV in comparison with that with 60 keV. Gate length (L) = 10 μm and gate width (w) = 100 μm.

Fig. 4-8 The RmLg curves for extraction of RSD and ΔL. (a) (b) and (c) (d)

show the results of the Ge p-MOSFETs with dopant implantation energy 30 keV and 60 keV, respectively. (a) (c) and (b) (d) show the curves of activation temperature of 550 and 600°C, respectively. Fig. 4-9 The channel length dependent Vth of the 550°C, 600°C, and 650°C

activated Ge p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV. Gate width (w) = 100 μm.

(27)

Fig. 4-10 The channel length dependent S.S. of the 550°C, 600°C, and 650°C activated Ge p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV. Gate width (w) = 100 μm.

Fig. 4-11 The Effective mobility of the 550°C activated Ge p-MOSFETs with dopant implantation energy (a) 30 keV and (b) 60 keV. Gate width (w) = 100 μm. The dash line shows the universal curve of Si p-MOSFET. Fig. 4-12 The Field effect mobility of the 550°C activated Ge p-MOSFETs with

dopant implantation energy (a) 30 keV and (b) 60 keV. Gate width (w) = 100 m. The dash line shows the universal curve of Si p-MOSFET.

(28)

Chapter 1

Introduction

1-1 General Background

In 1965, Moore predicted that in pursuit of a more convenient life would double the number of transistors per square inch on integrated circuits every two years [1]. This prediction observed over forty years later, referred to as“Moore’s law,” still upholds. To achieve this, transistor dimensions have been drastically downscaled, at the same time bettering the electrical performance. Making a comprehensive survey of the history of integrated circuits, many difficult challenges should be overcome while shrinking the dimensions of the transistor for pushing the technology node towards deep sub-0.1 um electronics generations. Specifications, required for every technology generations, outlined by the International Technology Roadmap for Semiconductors (ITRS) (consult Table 1-1) [2] indicate that there is no solution known for a variety of critical technologies. In particular, it is clear that today’s gate dielectric material, silicon dioxide (SiO2), will soon reach the predicted limits of

scaling process. In order to relax several progressively worse physical limitations associated with device scaling engineering, alternative materials and novel device

(29)

structures are being researched. Because of the thickness of conventional SiO2 less

than 1.5 nm, i.e., around three atomic layers in the film, carriers transporting through the gate oxide via direct tunneling results in the exponential increase of gate leakage current. The resulting leakage current increases the power dissipation and deteriorates the device performance as well as the circuit stability for VLSI application. Therefore, pushes the progress of research in high-k material base on the concept of increasing the physical thickness of gate insulator while maintaining the same equivalent oxide thickness (EOT) without diminishing the performance of devices. However, because of the poor interface, significant inter-diffusion and chemical reaction related to the instability of some high-k materials; it is required to form an extra interfacial layer between a high-k gate insulator and a Si substrate to eliminate those issues. In addition, unfortunately, another more critical property of high-k materials is that the energy band-gap is inversely proportional to the dielectric constant in most high-k materials. Therefore, in spite of the alleviation of leakage current through the application of high-k materials, the narrower energy band-gap, smaller band offset, and defectiveness of composition will severely enhance Schottky emission of carriers, Frenkel-Poole emission, trap-assisted tunneling, and the hopping effect compared with SiO2 and, consequently, increase the gate leakage

(30)

guiding the researcher to better choices of high-k gate dielectrics. Besides high-k materials and metal gates, replacing the traditional SiO2 and poly-Si as transistor

dielectric and gate material, respectively, high mobility substrate is another feasible way to replace silicon as channel material further increasing transistor speed. Figure 1-1, the room temperature properties of semiconductors, shows that germanium (Ge) and gallium Arsenide (GaAs) etc…substrate having higher carrier mobility might be the main stream substrate materials in the future semiconductor researches.

On the other hand, developments in the future microelectronics industry will be critically dependent on progress in ultra-thin film deposition technology, in particular, that will require the deposition of gate oxide films as thin as several angstroms. The ability to grow thin oxide films for use as dielectrics and insulators will be central to silicon processing. In addition to elegant thickness control, it will be substantial to have the ability to deposit conformal films on three-dimensional structures with high aspect ratios in future dynamic random access memory (DRAM) technology. To the end of thin film processing limitation is the deposition of only one monolayer to control precisely the film thickness and simultaneously maintain conformal deposition on three-dimensional structures.

Atomic layer controlled deposition technique provides the means to achieve conformality and precise thickness control. The development of atomic layer

(31)

controlled growth has been a major focus of recent research. For atomic layer epitaxy (ALE) or atomic 1ayer processing (ALP) of two-component films from elemental molecular sources, the adsorption of each element showing self-regulating and up to a full monolayer, by means of self-terminating surface reactions, can be employed to deposit a acceptable film in every deposition cycle. One advantage of the employ of atomic layer controlled growth is that the surface reaction kinetics should not affect the film growth rate. The only requirements for ALE or ALP are that the surface temperature and reactant exposure must be sufficient for a complete reaction everywhere on the substrate. Atomic layer deposition is getting attention recently. It could be applied to gate insulator technique and inner connector engineering whatever the thickness of gate dielectric and aspect ration of trench you meet and might be one of the solutions in the electronics generations later.

(32)

1-2 Motivation – Why atomic-layer-deposited Al

2

O

3

Gate

Dielectric on Germanium Substrate ?

Based on the first order current-voltage approximation, the drive current IDS for

a MOSFET can be showed as below

2 th GS eff n ox DS (V V ) L W μ C 2 1 I ⎟ − ⎠ ⎞ ⎜ ⎜ ⎝ ⎛ = (1.1)

Where Cox is the gate oxide capacitance and mainly determined by the

permittivity and the thickness of the gate insulator. μn is the mobility for the electrons

or holes, W is the channel width, Leff is the effective channel length, VGS is the applied

gate-to-source voltage, and Vth is the threshold voltage. All the parameters in the

above formula can be properly adjusted to further improve the device driving capability for fulfilling the specification expected.

On grounds of the formula mentioned above, we proceeded to study how to tune the two parameters, Cox gate capacitance and μn substrate carrier-mobility, through

the uses of a high-k gate insulator, aluminum oxide (Al2O3), and a high-mobility

substrate material, germanium (Ge), in substitution for the traditional device conformation, being about to go out of use some day, to catch up with the coming of new electronics industry era. The choice of Ge substrate forces us in face of two major issues─ illness Ge native oxide and narrower energy band-gap. The problem

(33)

involved in an unsound native oxide not only deforms the interface and the gate-stack integrity, but also restrains the temperatures selected for activation process following the ion implantation to define the source-drain (S/D) regions. Additionally, since the probability of higher junction leakage current resulted from a narrower band-gap of Ge, increases the difficulties to trade off an adequate activation temperature. Incomplete dopant activation might trigger the increase of junction leakage through Frenkel-Poole emission, trap-assisted tunneling, and the hopping effect, etc…but, because of the illness Ge native oxide, would poor the gate-stack conformation as choose a higher activation temperature.

In this research, the most special thing is the exploitation of an atomic layer deposition system to form a gate insulator for excellent thickness and conformality control. We exploit an ALD system to grow Al2O3 by uses of tri-methyl-aluminum

Al(CH3)3 (TMA) and H2O two molecular vapor reactants based on the mechanism─

self-limiting atomic layer controlled growth [3.4]. The precursors utilized allow us to receive a film grown at a relatively low growth temperature. The growth mechanism associated with (A) and (B) reactions [4] can define the ABAB... binary reaction sequence chemistry. One advantage of the ABAB... binary reaction sequence approach is that the surface reaction kinetics should not affect the film growth rate. We chosen the Al2O3 as the gate insulator not only for his energy band-gap,

(34)

showed in Figure 1-2 illustrating the band-gap and band alignment for several high-k gate dielectrics calculated by Robertson [5], wide enough to be compared to SiO2, but

also for the favorable conduction barrier high, tabulated in Table 1-3 [6], whatever the substrate materials are applied. Most high-k materials that have other desirable properties do have relatively low band offsets and small band-gaps and show unstable thermal characteristics. Al2O3 is probably the only material that has a band-gap,

band alignment and thermal stability similar to those of SiO2. Especially, it also

shows adequate valence barrier high to block the direct tunneling leakage current related to hole transportation as we use Ge as substrate for its mobility about 2.5x (~ 3900 cm2/V·s for electron) and 4x (~ 1900 cm2/V·s for hole), respectively, better than

(35)

1-3 Organization of This Thesis

In chapter 2, at the beginning, we studied the physical properties of the metal-oxide-semiconductor (MOS) capacitances with different post thermal treatments and atomic-layer deposited Al2O3 films on Ge substrates for paving the

way for an achievement of a Ge MOSFET. Various material analysis techniques, such as Ellipsometer, Atomic Force Microscopy (AFM), Angle-Resolved X-ray Photoelectron Spectroscopy (AR-XPS), Grazing Incidence X-ray Reflectivity (GI-XRR), Secondary Ion Mass Spectroscopy (SIMS), High Resolution Transmission Electron Microscopy (HRTEM) and Electron Dispersive Spectra (EDS) were performed to observe the surface morphology, gate stack composition, and the physical thickness of the ALD-Al2O3 for calculation of EOT after the formation of

such a MOSCAP gate stack. Beside studies of the effect of post thermal treatments, we also showed the impact of different deposition temperatures of ALD-Al2O3 on the

physical characteristics of those Pt / ALD Al2O3 / p-type Ge / Al capacitances and

claimed a possible growth mechanism along with an equation as a function of temperature behind an atomic layer deposition system. We established a five layers model to simulate the composition, density, and interface roughness of those MOSCAPs by use of the software ─ Mercury. The results of the simulation were

(36)

confirmed with the help of Grazing-Incidence X-Ray Reflectivity (GIXRR), Secondary Ion Mass Spectroscopy (SIMS), Atomic Force Microscopy (AFM), X-ray Photoelectron Spectroscopy (XPS), and High Resolution Transmission Electron Microscopy (HRTEM). By this, we had figured out the Ge out-diffusion mechanism in this chapter, too.

In chapter 3, we illustrated all the essential measurements of electrical performance of Ge MOSCAPS had been studied in chapter 2. We also did the calculation of the interface state by Conductance-Voltage (G-V) and high-low frequency method and further improved it by 300 °C forming gas annealing. We

studied out the relationship between the residues and the type of trap and fixed oxide charge through studies of trap behavior.

In chapter 4, we first demonstrated a Ge P-MOSFET by conventional four masks process with aluminum (Al) gate and boron implanted source-drain. It showed the most critical step of this process ─ the P-N junction engineering, and the limitation of the performance of such conventional transistor fabrication. Indicative parameters were extracted for judge by the performance of those MOSFETs more professionally. It showed the difficulty to eliminate high junction leakage current, high interface state density, high source drain series resistance, and high dopant lost. Various kinds of mobility were calculated in comparison to that on Si-based transistors.

(37)

In chapter 5, finally, it gave the conclusions and suggestions of this thesis for the future work.

(38)
(39)
(40)

Fig. 1-2 Energy Band-gap and band alignment of high-k gate dielectrics with respect to silicon.

Dielectric material

(41)
(42)

Chapter 2

Physical characteristics of

atomic-layer-deposited Al

2

O

3

on Ge substrate

2-1 Introduction

In view of the clean technique and the knowledge of intrinsic properties of Ge investigated by C. C. Cheng et al. [7-8], we preferred a low temperature technique to suppress the instable thermal properties of Ge substrate material while depositing the gate dielectrics on it. An atomic-layer-deposition (ALD) system is a good candidate to achieve this requirement. Figs. 2-1~2-5 present the ALD mechanism [9]. Taking advantage of the self-cease reaction cycles [10], we could deposit the gate dielectrics in a layer-by-layer manner with very good step coverage and atomic-scale uniformity. Taking the film quality into account, although it should be better to grow the film at deposition temperature higher than 200 °C [4], we could only receive a good Al2O3/Ge interface below 200 °C in our study. In addition, the conventional

techniques, such as plasma enhancement [11] and ozone precursor in place of H2O

[12-14], have also been studied recently to further decrease the deposition temperature by lowering the reaction barrier or increasing the chemical activity of the

(43)

reactants. We chose Al2O3 as our high-k gate insulator for the reasons that Al2O3 and

SiO2 showed similar properties such as high energy band-gap, high thermal stability

[15], good conduction and valence band alignments not only on Si but also Ge. In chapter 2, we focus ourselves on the characteristics of atomic-layer-deposited Al2O3

films with different deposition temperatures on Ge substrate with 60 cycles and analyze the electrical performance until chapter 3 based on these observations. On the basis of studies in chapter 2 and 3, we could chose a good condition to form the gate dielectrics for fabricating the Ge MOSFETs studied in chapter 4. By uses of the physical analysis, such as Ellipsometer (EP), Atomic Force Microscopy (AFM), Angle-Resolved X-ray Photoelectron Spectroscopy (AR-XPS), Grazing Incidence X-ray Reflectivity (GI-XRR), Secondary Ion Mass Spectroscopy (SIMS), High Resolution Transmission Electron Microscopy (HRTEM) and Electron Dispersive Spectra (EDS), we showed the thermal and intrinsic properties of the ALD Al2O3 films

that revealed the effects of the growth and annealing temperature on the interface roughness and the compositions of the Al2O3 films. What deserves to be mentioned is

that we figured out the growth mechanism as a function of deposition temperature through the studies of the effects of different deposition temperatures on the thickness of the ALD dielectrics. We would also show the relationship between the physical properties and the essential electrical performance in chapter 3; and did further

(44)

calculation of the interface states along with the modeling result from the GIXRR measurements.

(45)

2-2 Experimental Procedures

The gallium (Ga, concentration ~ 2e14 /cm2) doped Ge substrates were used to

fabricate the Pt/ALD-Al2O3/Ga-doped Ge/Al MOSCAPs. After broking the flesh Ge

wafer into fragments, we consulted the results of the research of C. C. Cheng et al.

[7-8] to clean the Ge fragments in several cycles of sequential dilute HF (HF:H2O ~

1:30) and deionized (D.I.) water rinses followed by a last-HF dip and N2 drying. Then,

in order to investigate the effect of deposition temperature on such a MOS gate stack in all respects and calculate the growth rate, we used an atomic-layer-deposition system to grow the gate dielectrics at different temperatures in variant deposition cycles on the cleaned and HF-lasted p-type Ge substrates in Instrument Technology Research Center (ITRC). In this ALD system, tri-methyl-aluminum (TMA), Al(CH3)3,

and H2O were chosen as the metal source and oxidant that were pulsed alternatively

into the reactor for 1 sec; and per pulse separated by N2 purge of 10 sec to remove

redundant reactants during the process. TMA were often-used as the aluminum precursor due to its thermal stability, high vapor pressure (8.4 torr) and highly exothermic reaction with H2O. During each cycle of reactions, the chamber was held

out at a constant pressure about 10 torr. Then, some samples were subjected to 30 sec 400 °C post deposition annealing (PDA), by a rapid thermal annealing (RTA) system

(46)

in N2 (pressure~ 1 atm) ambient, for further improving the film quality. With the help

of shadow mask, we left out the lithography process and defined the platinum (Pt) gate electrodes through a reactive sputtering system in Ar (flow rate~ 24 sccm) ambient. Before thermally coating aluminum (Al) on the backsides of the semi-manufactured samples, we split them into several groups so that post metallization annealing (PMA), by a rapid thermal annealing (RTA) system in N2

(pressure~ 1 atm) ambient, could be performed at different temperatures (400 and 600

°C) but equal time duration (30 seconds) for studies of the thermal stability of such a

MOS gate stack. The overall fabrication processes of the Ge MOSCAPs were illustrated summarily in Fig. 2-6 for simple and easy to follow. After the fabrication of the MOSCAPs, we measured the Al2O3 film thickness by Ellipsometer (EP) and High

Resolution Transmission Electron Microscopy (HRTEM); and, furthermore, inspected the surface morphology by Atomic Force Microscopy (AFM). Particularly and significantly, we used Angle-Resolved X-ray Photoelectron Spectroscopy (AR-XPS), Grazing Incidence X-ray Reflectivity (GI-XRR), Secondary Ion Mass Spectroscopy (SIMS), and Electron Dispersive Spectra (EDS) to investigate the composition of the MOS gate stacks subjected to different thermal processes.  For AR-XPS analysis, we used an Al Kα radiation source operating at 1486.6 eV for excitation, and the photoelectrons were collected at the take-off angles, 60°, with respect to the surface

(47)

horizontal and the binding energy was calibrated by the C 1s peak at 284.5 eV. By using the commercial XPSPEAK software package, we also went further doing peak fitting of the Ge 3d XPS spectra for understanding the mechanism of formation of GeOx and how Ge out diffuses. For GI-XRR analysis, we used a Bede GI-XRR system to measure the reflected X-ray signal at angle range from 0 to 7600 arc-second. In this system, a parallel collimated and monochromatic beam (Cu Ka radiation, λ = 1.5406 ) was used and a dynamic intensity range of ca. 5 orders of magnitude was accessible after further purifying the X-ray source by a silicon of single crystal. Data evaluation was carried out with the commercial Bede REFS Mercury software package [16]. It employs the optical matrix method, based on the expressions of Parratt [17] and Nevot and Croce [18], for the analytical calculation of XRR curves of layered systems. We modeled a five layer composition of oxide semiconductor to fit the measurement results and insert the available information from AFM, AR-XPS, and HRTEM physical measurement for more accurate fitting. From a best fit of the experimental data i.e. from the minimization of a cost function χ

o

A

2 individual film

(48)

2-3 Results and Discussion

2-3-1 The growth mechanism and the surface morphology of the post- deposited Al2O3 films.

Figure 2-7 illustrates the growth rate of the ALD system at 200 °C which is the

temperature associated with the thickest Al2O3 film in Fig. 2-8 the growth

mechanism of an ALD system. In literature [4], it had pointed out that an ALD system shows almost complete reactions of the two self-cease sequential cycles and more than 80 % (~ 100 % at temperature 300 K) of coverage of the reactants on alumina membranes during deposition process (explained below) at the temperature of highest growth rate. We also labeled the calculated growth rate (~ 1.2 angstrom/cycle) in Fig. 2-7. The growth rate is similar to that reported somewhere and implies that it is unnecessary to consider the transient region during an initial stage of atomic layer deposition [19] and precursor concentration effect [20] duriing the deposition process. This means that the ALD system has been fine tuned so that we could avoid mentioning the problems might be considered in application of an ALD system.

Referring to some publications [19, 21] and combining our hypothesis inspired from Statistical Mechanics, we did further simulation of the growth mechanism of the ALD system for constant deposition cycles in Fig 2-8 by the following equation;

θ e film O Al ALD an of

Thickness ΔU (internalenergy)kT 3

2

S

(49)

k is the Boltzmann constant and T is temperature in Kevin. Besides, θ and ( ), respectively, stand for the surface coverage of reactants and the difference of internal energy of the reactants before and after transforming into a surface complex while adsorbing on the surface. As soon as a surface complex (explained later) formed, it transforms into the surface species such as Al(CH

energy) (internal

ΔUs0

3)2- or

Al(OH)2- adsorbance [4, 22] on alumina membranes. In Fig. 2-8, the thicknesses of

the films deposited at 60 cycles were estimated from the results of the measurements by High Resolution Transmission Electron Microscopy (HRTEM), arranged in Fig. 2-9; and, in addition, we employed Electron-Dispersive-Spectra (EDS) technique to check the composition of the dielectric films roughly in Fig. 2-10. In eq. 2.1, we assumed approximately that, in a reaction cycle, the distribution of the binding and nonbinding reactants, as the TMA- and H2O-surface complexes, of a precursor

followed the classical Boltzmann distribution despite an open system arising during the deposition process. Based on this ideal, we presumed that the probability, P (binding), of the reactants bind while adsorbing on the surface in a reaction cycle could be represented by this equation;

e

(binding)

P ∝ −ΔUS(internalenergy)kT (2.2)

Besides, moreover, it is well known that the adsorption rate can generally be expressed in the following equation [19, 21] without taking account of dissociation of

(50)

the precursors; l d n i ap(1 θ) γ θ γ dt = (2.3) reactant of pressure partial : P constant rate desorption : γ constant rate adsorption : γ order desorption : l order adsorption : n precoursor of coverage : θ i d a

In many cases, the adsorption rate is much higher than the desorption rate, so that desorption rate can be ignored in deriving eq. 2.3 [19]. Supposing that ideal 2-dimention (2-D) growth occurred (set n=1) during the reactions and solving eq. 2.3, we obtained the solution;

t} p A(T)exp{-γ 1 T) θ(t, = − a i (2.4)

A(T)is an arbitrary function of temperature that can be determined by a proper

boundary condition (B.C). Since the ALD system has been fine adjusted, we can always obtained saturated coverage of the absorbances in per pulse of precursor injection. Then, we further considered the probability of dissociation of the precursor TMA, which had been pointed out that it dissociated appreciably and deposited aluminum on the surface [23] at temperature above 650 K [4]. We replaced the ideal coverage, 1 (for 300K), by a function of pressure and temperature

( , for 2-D growth). Furthermore, from the researches of A.W. Ott’s et al. [4] and by

P) B(T,

1

(51)

intuition of mathematics somewhat, it was conjecturable that this function was almost linearly proportional to temperature, and eq. 2.4 could be rewritten as eq. 2.5 at saturation mode; T) -(T P) B(T, P) θ(T, = ≅αOO (2.5) It has the relationship to effective adsorption constant ( ) and complete-dissociated temperature ( ). Both are related to the partial pressure of a precursor in reactor. Combing eq. 2.2 with eq. 2.4, we arrived at the eq. 2.1. For associating eq. 2.1 with temperature and pressure only, we made the use of eq. 2.5 to modify the equation in a more acceptable form below;

O α O T T) -(T e film O Al ALD an of

Thickness ΔU (internalenergy)kT O O 3 2 S ⋅ ⋅ ∝ α − (2.6)

Then, by multiplying an independent constant C, the growth rate equation could be rewritten as; T) -(T e C rate

Growth ΔU (internalenergy)kT O O S ⋅ ⋅ ⋅ = α − (2.7)

Constant C could be determined approximately by simulating the outcome of an experiment with the value of the complete-dissociated temperature ( , the result of A.W. Ott et al.), the temperature of highest growth rate ( defined below, at which it has the almost 80 % coverage and calculated

K 800 TO= HGR T O α ~ 0.0023 1/K for ), and the solution of eq. 2.12 (explained later). Additionally, the temperature corresponding to highest growth rate in our study (see Fig. 2-8) is higher

K 800 TO =

(52)

than that reported somewhere [3, 4]. In A. W. Otts article, it showed the outcome on cleaned Si wafers followed by H20 plasma treatment that oxidized the Si (100) sample

and left the SiO2 surface completely hydroxyllated.

To further discuss the shift in the temperature of highest growth rate (HGR) (abbreviated as THGR below), we did the simulations involving modulating the four

parameters (C , αO, , and ) encountered in eq. 2.7 individually and investigated the effects of the modulations on the curves of growth rate in Figs. 2-12~15. Before discussing in depth, we must have a sketch of the range of values and the characteristics of those parameters and their relationship. Equation 2.8 shows the first differentiation of eq. 2.7 for calculating T

O T ΔUS HGR. /kT U O S S 2 2 S e ) T k U T k U (T T 1 (2.7) eq. of ation differenti First ∝ ⋅ −Δ +Δ ⋅ −Δ (2.8)

For getting this temperature, it is necessary that eq. 2.8 be equal to zero; 0 e ) T k ΔU T k ΔU (T T 1 ΔU /kT O S S 2 2 S = ⋅ − + ⋅ − (2.9)

By solving the quadratic equations with Quadratic Formula and extracting directly, we have all the possible solutions;

(K) ) T ΔU k 4 1 (1 2k ΔU and , 0, T O S S HGR = ∞ ⋅ m + ⋅ ⋅ (2.10)

And above all, the solution makes sense is;

(K) ) T ΔU k 4 1 (1 2k ΔU T O S S HGR = ⋅ − + ⋅ ⋅ (2.11)

(53)

We could, next, further rewire eq. 2.11 and associate with in a more compact form as;

S ΔU TO (K) T T T k ΔU HGR O HGR S − = 2 (2.12)

After substituting the parameters with the available values ( and

) in A.W. Ott’s article and regarding the reasonable range of (~ 600 – 800, 900 K) [24, 25], we got the computation that (~ 600 1200 k Joule) has order of value about 10

K 450 ~ THGR K 800 ~ TO TO S ΔU

-1 ~ 5x10-2 eV consistent with that calculated by First Principle

in Mathew D. Halls’s research [26], in which it describes that the reactant complex between TMA and the surface is more weakly bound than the H2O-surface complex

with a calculated binding energy of only 0.03 and 0.04 eV. We had summarized the calculation results in Fig. 2-11 and Table 2-1. Besides, we could estimate the value of the independent constant, C (~ 5.2 ), and the effective adsorption constant, (~ 0.0023 1/K ). Since the value of is close to the energy to break a (weak) chemical bond involving electromagnetic force, we do really have a self-consistent derivation of the ALD mechanism and reasonable estimation of the values of the parameters. Taking a look at eq. 2.12, moreover, we could suggest that being almost independent of the parameter

/cycle Ao O α ΔUS HGR T O C α

α′= ⋅ . Therefore, it is expectable that the shift of THGR would be related to different partial pressures of the precursors, surface

(54)

It is ready now to discuss the results of the simulation in Figs. 2-12~15. Figs. 2-12 and 2-13 show the curves corresponding to the modulation of the two parametersCandαO. The range of values of αO (corresponding to 5 % ~ 95% coverage of the reactants on the surface) in the simulation was the possible coverage level at the temperature, , about 450 K. For parameter, it was just chosen around the value estimated through the simulation of the growth rate of A.W. Ott’s results to observe the variation related to it. We found that the growth rate is up with increase of the values of and

HGR

T C

C αO (a function of partial pressure of the

precursors), but the temperature, , is almost independent of what referred above (in Fig. 2-12 (d) and 2-13 (d)). This observation is consistent with what concluded from eq. 2.1. And, moreover, the pressure during deposition processes and the growth rate calculated in our studies were higher than that recorded in A.W. Ott’s article. By intuition, higher deposition pressure might lead to higher partial pressure and surface coverage of the precursors; and, then, resulted in higher growth rate calculated in our research. Then, let’s go on to Figs. 2-14 and 2-15 which explain the shift of T

HGR

T

HGR

intimately. In Fig. 2-14, the range of the value of was about 600 to 800-900 K, which were close to the values ever emerged in references. It shows that the growth rate of an ALD system and the temperature of a HGR point, , would go up with the increase of complete-dissociated temperature, , related to the partial pressure

O T HGR T O T

數據

Fig. 1-2 Energy Band-gap and band alignment of high-k gate dielectrics with respect to silicon
Fig. 2-1  The (a) 1 st  step and (b) 2 nd  step of the ALD deposition mechanism.  OHH           Ge substrateOHOHOH1 st
Fig. 2-2  The 3 rd  step of the ALD deposition mechanism.             Ge substrateHHCCHHCHHHHHHCHHHOHCHCHHHHOHCHCHHHHOHCHCHHHHOHCHCHHHHOHCHCHH HH OHCH C H H HHHCHHH3 rd
Fig. 2-3  The (a) 4 th  step and (b) 5 th  step of the ALD deposition mechanism.  (a)(b)           Ge substrateOHCHCHHHHOHCHCHHHHOHCHCHHHHOHCHCHHHHOHCHCHHHHOHCH C H H HHOHHOHHOHH4 th
+7

參考文獻

相關文件

• 雙極性電晶體 (bipolar junction transistor , BJ T) 依結構區分,有 npn 型及 pnp 型兩種. Base

• 雙極性電晶體 (bipolar junction transistor , BJ T) 依結構區分,有 npn 型及 pnp 型兩種. Base

– 有些化合物的電子為奇數個,像NO及NO 2 ,其中N 原子 只有7個電子 ( 含共用 ),稱為自由基 (free radical)。由 於具有未成對電子 (unpaired

雖然水是電中性分子,然其具正極區域(氫 原子)和負極區域(氧原子),因此 水是一種極 性溶劑

細目之砂紙,將絕緣體 及內部半導電層鉛筆狀

一、數位電子係於民國 72 年配合工業電子精密化分工修正時,將工業電子職 類分為數位電子、儀表電子、與電力電子等 3 組。民國 82 年為配合電子

• 接續之前的例子,若原為 0.288 pF 的液晶 電容 C LC ,再並聯一個亦為 0.288 pF 的電 容C st ,則電位保持的變化值為.

◦ 金屬介電層 (inter-metal dielectric, IMD) 是介於兩 個金屬層中間,就像兩個導電的金屬或是兩條鄰 近的金屬線之間的絕緣薄膜,並以階梯覆蓋 (step