• 沒有找到結果。

Chemical-mechanical polishing of low-dielectric-constant spin-on-glasses: film chemistries, slurry formulation and polish selectivity

N/A
N/A
Protected

Academic year: 2021

Share "Chemical-mechanical polishing of low-dielectric-constant spin-on-glasses: film chemistries, slurry formulation and polish selectivity"

Copied!
5
0
0

加載中.... (立即查看全文)

全文

(1)

Chemical-mechanical polishing of low-dielectric-constant spin-on-glasses:

film chemistries, slurry formulation and polish selectivity

Y.-L. Wang

a ,

*, C. Liu

b

, S.-T. Chang

c

, M.-S. Tsai

d

, M.-S. Feng

c

, W.-T Tseng

d a

Institute of Electronics, Chiao-Tung University, Hsin-Chu 300, Taiwan

b

Phoenix Silicon International Corp., Hsin-Chu 300, Taiwan

c

Institute of Materials Science and Engineering, Chiao-Tung University, Hsinchu 300, Taiwan

d

National Nano Device Laboratories, Hsin-Chu 300, Taiwan

Abstract

Alkyl siloxane-based low-dielectric-constant (low-k) spin-on-glass (SOG) thin films with varying amounts of organic content were subjected to polishing experiments using silica- and ZrO2-based slurries with a variety of additives. As the amount of organic content in SOG increases, the chemical-mechanical polishing (CMP) removal rate decreases with silica-based potassium hydroxide-added slurry. On the other hand, zirconia-based slurry resulted in higher removal rates for both SOG (.400 nm/min) and thermal oxide and an adjustment in polish selectivity (related to thermal oxide) ranging from 1.2 to 9.1 can be achieved by adding various amounts of tetra-alkyl substituted ammonium hydroxide. Post-CMP materials characterization by Fourier transform infrared spectroscopy (FTIR) and atomic force microscopy (AFM) shows the chemical stability and CMP compatibility of SOG thin films.1997 Elsevier Science S.A. Keywords: Spin-on-glass; Chemical-mechanical polishing; Zirconia oxide; Slurry

1. Introduction

One of the important issues in processing IC multilevel interconnect structures is filling inter-level dielectric (ILD) layers into high aspect ratio trenches [1–3]. Among the candidates for ILD materials, spin-on-glasses (SOG) pro-vide the capability of narrow gap filling with good local planarity and the extra benefits of process simplicity and low cost. The tight depth-of-focus (DOF) in deep sub-micron ultra large scale integration (ULSI) manufacturing, however, places stringent requirements of global planarity rather than local planarity for each layer. To fulfill this goal, chemical-mechanical polishing (CMP) is, thus far, the most promising and widely accepted technology.

The incorporation of low-dielectric-constant (low-k) spin-on-glass (SOG) into multilevel interconnection schemes necessitates the evaluation of CMP compatibility

of this new group of materials. Depending on the chemis-tries of SOG films, selection of adequate slurry formulation may vary in order to optimize the polishing results [4]. This variation is further complicated by the concern over the polish selectivity between SOG and other chemical vapor deposited (CVD) oxides since SOG can be used as a stop layer in the planarization process [5]. Since most of the SOG materials are usually less moisture resistant than CVD oxi-des, their ability to withstand the wet and aggressive CMP process without degrading their structure and characteristics is another concern [6].

In this article, we present the development of a novel acidic zirconia-based slurry for various SOG-CMP pro-cesses. Surfactants are added to commercial slurries in an attempt to improve the slurry wettability and hence contact area on SOG surfaces. The interactions between conven-tional silica-based KOH-added slurry and alkyl siloxane-based SOG films will be discussed. Also, additives such as tetra-alkyl ammonium hydroxides are added to the zirco-nia-based slurry and their effects on the polish (removal) rate and polish selectivity between alkyl siloxane-based SOG and oxides were investigated. Chemical mechanisms for improving the polish performance are proposed.

0040-6090/97/$17.00 1997 Elsevier Science S.A. All rights reserved

P I I S 0 0 4 0 - 6 0 9 0 ( 9 7 ) 0 0 4 9 1 - 4

* Corresponding author. Present address: Taiwan Semiconductor Man. Com. Ltd., Fab-4, Eng 2, Science-Based Industrial Park, No. 9, Creation Rd. 1, Hisinchu 300, Taiwan. Tel.: +886 3 5781688; fax: +886 3 5790298; e-mail: ylwang@tsmc.com.tw

(2)

2. Experimental

In this work, four conventional SOGs, Accuglasss 111, 311, 314, and 103A, and one low-k SOG Accuspin 418 (all from Allied Signal Co.) were studied. These SOGs were spin coated onto 150-mm blanket silicon wafers. They were then cured and densified in a vertical furnace through sequential thermal steps at 340–370°C for 20 min, 370– 400°C for 35 min, and 400–415°C for 15 min. The proper-ties and ingredients of these cured SOGs are listed in Table 1.

All CMP experiments were carried out on a Westech 372M CMP polisher with a Rodel IC-1400y polish pad on the primary platen, a Rodel Politex Regulary post polish buffing pad on the second platen, and a Rodel DF-200y carrier film to provide a buffer between the carrier and the wafer. Polish parameters used for all CMP experiments were: down force, 5 psi; platen speed, 20 rev./min; carrier speed, 25 rev./min; back pressure, 3.0 psi; and slurry flow rate 150 ml/min.

Commercially available silica- and zircornia-based slur-ries were modified for polish experiments in this study. The basic properties of these two commercial slurries are listed in Table 2. Before polishing, one part of the silica-based slurry (Cabot SC-1y) was diluted with two parts of de-ionized (DI) water; and one part of the zircornia-based slurry (Nissan Chemical, acid-type, 20 wt% solid) was diluted with nine parts of DI water. In order to investigate the effect of surface wettability of SOG films on the CMP process, ethylene glycol (EG) was added to the SC-1 slurry while methyl-ammonium hydroxide (TMAH) or tetra-butyl-ammonium hydroxide (TBAH) was added to the ZrO2-based slurry. Film thickness was measured with a

NanoSpec 2100P; polish rate and non-uniformity were cal-culated from the film thickness difference before and after CMP, with non-uniformity of removal rate defined as fol-lows:

Non−uniformity(%) = (Pmax−Pmin)=(2p Pmean)p 100%

where P denotes the polish rate. The post-CMP surface roughness was measured with atomic force microscopy (AFM). Fourier transform infrared spectroscopy (FTIR)

was used to characterize moisture absorption and composi-tion stability of SOG after the CMP process.

3. Result and discussion

3.1. Silica-based slurry for SOG polishing

Forester et al. found that the polish removal rate of alkyl siloxane-based SOG was lower than that of plasma enhanced chemical vapor deposition (PECVD) oxide or thermal oxide using KOH silica-based slurry [5]. The polish rate of alkyl siloxane-based SOG is dependent on the organic content. It is hypothesized that a higher Si–R/Si– O ratio in the SOG films induces a lower hydration reaction rate and hence a lower polish rate. The organic groups (R–) in cured SOG films remain virtually inert to attack by OH− radicals in a basic environment. From another perspective, the increasing concentration of organic groups renders the SOG films more hydrophobic because of the reduction in Si-O content. Fig. 1 shows the hydration reaction scheme. Enhancement in polish rate may thus be achieved by adding proper surfactants into the slurry to improve the wettability (i.e. to enlarge the contact area) on the SOG films being polished.

In this study, surfactants, such as ethylene glycol (EG), were used in an attempt to improve the wettability of the alkyl siloxane-based SOG surface. As Fig. 2 shows, removal rates of silicate 103AS and thermal oxide by the KOH-added silica-based slurry are much higher than those of alkyl siloxane-based SOG 111 and 314. However, varying Table 1

The properties and ingredients of SOGs used in this study

103AS 111 311 314 418

Organic content (%) 0 10 10 10 22

H2O (%) 5.8 10.5 7.7 4.5 0

Dielectric constant 6–8 3.8 3.8 3.8 2.7

Refractive index 1.43 1.39 1.39 1.38 1.36

Buffered oxide etchant (BOE) etch rate ratio (SOG/thermal oxide)

4.5 12 12 36 –

Silanol/H2O content High Low Low Low Negligible

Materials Silicate Methyl-siloxane Methyl-siloxane Methyl-siloxane Methyl-silsesquloxane

Table 2

The physical properties of SC-1 and ZrO2slurries

SC-1 ZrO2

Abrasive type Fumed silica Crystalline ZrO2

pH 10.2~10.4 3.3~4.6a

Specific gravity (g/cm3) 1.2 1.2

Viscosity (c.p.) ,1.5 7.2

Solid content (wt %) 30.0 20.1

Mean particle size (nm) 110 195

(3)

EG concentrations from 0.01 to 2.0 M in the SC-1 slurry does not bring any enhancement to the removal rates of SOG and thermal oxide. Although adding surfactant makes alkyl siloxane-based SOG surfaces more hydrophi-lic, the lower concentration of silanol groups on which hydration reaction occurs probably leads to the low polish rates. Hence the hydro-affinity argument mentioned pre-viously does not seem to offer a solution to the effective removal of siloxane-based SOGs.

A slurry that polishes through a different mechanism ought to be developed. This slurry should be capable of dissolving the organic content and initiating hydration reac-tions to breaking the Si–O bonds in the SOG films. Accord-ing to the model proposed by Cook [7], silica powder is not an active polish compound due to weak interactions (che-mical bonding) between silica abrasive and the oxide sub-strate. On the other hand, active polish compounds such as cerium oxide and zirconium oxide in which an oxygen bridge bonding between abrasive and substrate can be formed efficiently may be potentially capable of polishing alkyl siloxane-based SOG.

3.2. Zirconia-based slurry for SOG polishing

Homma et al. have shown that alkaline cerium oxide-based slurry improves the polish rate of siloxane-oxide-based SOG dramatically, with an additional advantage of a very high removal selectivity to that of thermal oxide [8]. Instead of cerium oxide as the abrasive, the acidic zirconia-based

slurry was investigated for polishing siloxane-based SOG in this study. The results are shown in Fig. 3a. With no TBAH Fig. 1. Hydrolysis reactions can occur on hydrophilic oxide surfaces, but

are inhibited on hydrophobic organic surfaces.

Fig. 2. CMP removal rates vs. various ethylene glycol concentrations in the silica-based CABOT SC-1 slurry.

Fig. 3. (a) Removal rates vs. various amounts of tetra-butyl-ammonium hydroxide (TBAH) in ZrO2-based slurry. (b) Polishing selectivity of SOGs to thermal oxide vs. various amounts of TBAH in ZrO2-based slurry.

Fig. 4. Positively-charged ammonium cations would attach to the nega-tively-charged oxide surface making the surface more hydrophobic and reducing the rate of the hydrolysis reaction.

(4)

added, the removal rates of SOG silicate, 311, 314, and thermal oxide are higher than those obtained with silica-based slurry, except for 418 due presumably to its hydro-phobic surface. With increasing amount of TBAH added, both the pH of the ZrO2-based slurry and removal rates of

siloxane-based SOG 311, 314, and 418 become progres-sively higher; on the other hand, removal rates of silicate and thermal oxide fall dramatically. Thus the removal selec-tivity to thermal oxide can be enlarged by adding TBAH as shown in Fig. 3b.

The above finding, i.e. increased removal rate with increasing pH, is contradictory to the aforementioned mechanism that the rate of silica hydration reaction is pro-portional to the solution pH. We proposed a different mechanism to account for the chemical reactions involved. The positively charged tetra-butyl-ammonium ions would attach to the negatively charged oxide surface, and making the neutralized surface more hydrophobic owing to the alkyl substitution. Thus the rate of silica hydration reaction is reduced. Fig. 4 shows the hydration reaction process of negatively charged oxide surface. When the amount of TBAH added is.0.3 mmol, the adsorption of alkyl-ammo-nium ions on the oxide surface is saturated, as are the removal rates for thermal oxide and silicate-based SOG. In a similar way, TMAH additive in the ZrO2slurry also

causes lower removal rates for silicate and thermal oxide. The removal rates of siloxane-based SOGs are increased by Fig. 5. Ammonium hydroxide ion pairs adsorbed onto organic SOG

sur-faces results in the pH increasing locally on the surface enhancing the hydrolysis reactions of SOGs.

Fig. 6. (a) Removal rates vs. various amounts of tetra-methyl-ammonium hydroxide (TMAH) in ZrO2-based slurry. (b) Removal selectivity of SOG to thermal oxide vs. various amounts of TMAH in ZrO2-based slurry.

Fig. 7. (a) AFM micrograph of polished SOG silicate surface (TMAH added to ZrO2-based slurry), Rq=7.631 nm; Ra=4.246 nm in 50×50

mm2area. (b). AFM micrograph of polished SOG 314 surface (TMAH added to ZrO2-based slurry), Rq=5.364 nm; Ra=2.141 nm in 50×50

(5)

adding TBAH or TMAH, because the similar polarity between siloxane-based SOG and alkyl-substituted ammo-nium ions is helpful in the dissolution of the organic com-ponent (methyl-siloxane and methyl-silsesquloxane) in these SOGs. Fig. 5 shows that the hydrolysis reactions of SOG are enhanced by adding TBAH or TMAH. Fig. 6 shows (a) the removal rates vs. various amounts of tetra-methyl-ammonium hydroxide (TMAH) in ZrO2-based

slurry and (b) the removal selectivity of SOG to thermal oxide vs. various amounts of TMAH in ZrO2-based slurry.

Comparisons between Figs 3, and 6a indicate that, with the same amount of molar volume added, TBAH is more effi-cient in improving the removal rate than TMAH. This may arise from the greater dissolving power for organic compo-nents of butyl groups in the former than the methyl groups in the latter.

Fig. 7a,b shows the post-CMP surface roughness of SOG silicate and SOG 314. The surface roughness of 314 is found to be less than that of silicate, implying a more uniform dissolution and removal occurring on the surface of organic SOG. Fig. 8 shows the FTIR spectra of pre- and post-CMP of SOG films with TBAH-added ZrO2-based slurry. No

sig-nificant changes in moisture content and composition can be detected for these SOG films. The results suggest that these cured SOG films can endure the aggressive slurry attack

during the CMP process and are hence considered CMP compatible.

4. Conclusion

Incorporating low-k siloxane-based SOG dielectric into back end of line (BEOL) interconnection manufacturing provides the benefits of excellent gap-filling capability and reduced resistance capacitance (RC)-delay propagation of interconnections. In order to achieve global planariza-tion, it is necessary to develop a suitable slurry for silox-ane-based SOG-CMP. The polish rate of siloxsilox-ane-based SOG is slower than that of thermal oxide or PE-CVD oxide with conventional alkaline silica-based slurry whether a surfactant is added or not. In this study, a new zirconia-based slurry has been developed to achieve higher polish rates for siloxane-based SOG-CMP. The removal selectivity of organic SOG to thermal oxide could be adjusted by add-ing varyadd-ing amounts of tetra-alkyl ammonium hydroxides, such as TBAH and TMAH, to ZrO2-based slurry for

selec-tive CMP of organic SOG.

References

[1] H. Landis, P. Burke, W. Cote, W. Hill and C. Hoffman, Thin Solid

Films, 220 (1992) 91.

[2] D. Pramanik, Solid State Technol., September (1995) 69.

[3] R. Wall, Proceeding of Advanced Metallization and Intercon., USLI, (1995) 169.

[4] R. Dawson, Allied Signal PLANAR, 94 (1994) 9.

[5] L. Forester, D. Choi, R. Hosseini, J. Lee, B. Tredinnick, K. Holland and T. Cale, Proc. 12th. VLSI Multilevel Interconnect Conf., VMIC, Tampa, FL, USA, 1995, p. 482.

[6] Y. Shacham-Diamand and Y. Nachumovsky, J. Electrochem. Soc.,

137 (1990) 190.

[7] L.M. Cook, J. Non-Crystal. Solids, 120 (1990) 152.

[8] Y. Homma, T. Furusawa, K. Kusukawa, and M. Nagasawa, Proc. 1st.

Int. CMP Multilevel Interconnect Conf., CMP-MIC, Tampa, FL,

USA, 1996, p. 67. Fig. 8. FTIR spectra of pre- and post-CMP SOG films.

數據

Fig. 3. (a) Removal rates vs. various amounts of tetra-butyl-ammonium hydroxide (TBAH) in ZrO 2 -based slurry
Fig. 6. (a) Removal rates vs. various amounts of tetra-methyl-ammonium hydroxide (TMAH) in ZrO 2 -based slurry

參考文獻

相關文件

Corollary 13.3. For, if C is simple and lies in D, the function f is analytic at each point interior to and on C; so we apply the Cauchy-Goursat theorem directly. On the other hand,

Corollary 13.3. For, if C is simple and lies in D, the function f is analytic at each point interior to and on C; so we apply the Cauchy-Goursat theorem directly. On the other hand,

On the other hand, as prices rose in Summer clothing and footwear, rent and interior decoration, the indices of Clothing and footwear, and Rent and housing expenses increased 0.68%

Attributable to the upward adjustment of school tuition fees in the new academic year; higher housing rent and expenses of house maintenance; and rising prices in fish and

On the other hand, the pre-Lunar New Year Sale on clothing, falling price in fresh pork and a waiver of welfare housing rentals by the Housing Institute for the first quarter of

On the other hand, rising prices in new arrivals of summer clothing, men’s and women’s footwear and the expiry of waiver of welfare housing rentals by the Housing Institute after

It is based on the goals of senior secondary education and on other official documents related to the curriculum and assessment reform since 2000, including

Wet chemical etchings are especially suitable for blanket etches (i.e., over the whole wafer surface) of polysilicon, oxide, nitride, metals, and Ⅲ-Ⅴ compounds. The