• 沒有找到結果。

機電整合實習教學活動設計

N/A
N/A
Protected

Academic year: 2021

Share "機電整合實習教學活動設計"

Copied!
18
0
0

加載中.... (立即查看全文)

全文

(1)

51

機電整合實習教學活動設計-以自走車為例

彭國勝 國立桃園農工生物產業機電科教師 壹、緣起 根據 1996 年美國電機工程和機械工程學會(IEEE/ASME)所發行的機電學刊 (Transactions on Mechatronics)創刊號對機電整合的定義是:整合機械工程、電子 和智慧型電腦控制應用在工業產品和程序的設計和製造(盧福明,2000)。因為產 業的需求與科技的進步,機電整合系統不斷採用更先進的技術,至今機電整合已 經不再單單是機械工程和電子工程的整合,而是包含著機械學、液氣壓學、電子 學、電機機械、電腦網路、可程式控制器、微處理器、感測器、數位邏輯、人機 介面、網路控制等技術。機電整合系統從學門分類包含自動化工程、機械工程、 電子工程、電機工程、資訊工程等。 機構原理 液氣壓控制 機械製造 電子電路 數位信號 電路學 電機機械 電磁學 微處理機 程式語言 資料結構 通訊與網路 自動控制 數位邏輯 感測技術

機電整合

自動 化工 程 資 訊 工 程 機 械 工 程 電子 工程 電機工程 圖 1 機電整合相關學門與技術 機電整合技術的應用十分地廣泛,在日常生活中皆處處可見。小至便利商店 的自動門、電動玩具、光碟機、智慧型機器人,大至捷運電車、航太飛行器、自 動化工廠,均可見到機電整合技術運用在其中。機電整合工程是自動化工業、航

(2)

52 太工業與國防工業的基礎整合技術。也是先進工業國家競相發展的領域,亦是我 國邁向二十一世紀科技發展的關鍵。 自動化是製造技術發展的必然趨勢,我國自動化產業發展的瓶頸,主要在於 高級機電人才的嚴重不足,根本之道就在於有系統的培育優秀的機電整合人才, 而這要從機電工程教育的規劃開始。機電工程教育的困難不僅在於機與電的結 合,更重要的是必須具備自動控制與系統工程的觀念,目前我國的大學與技術學 院,則普遍缺乏系統整合的課程(鄒應嶼,1998)。 同學初次接觸學習機電整合課程時,總是容易以為機電整合系統一定是非常 地複雜,甚至覺得機電整合是一門高深的學問,因而產生了學習困擾。好像什麼 都要學,但是什麼都學不好,對於學習產生迷惘而失去了學習的動力。為了使同 學能夠明瞭機電整合的基本架構,故設計此一課程教學,提供同學能在「做中學」 了解一個簡單的機電整合系統,加強學習的信心,期望同學在未來可以對機電整 合相關領域的研究產生興趣。 貳、文獻探討 一、機電整合的定義 盧福明(2000)指出機電整合一詞(Mecha-tronics)最早是由 YASKAWA 公司的 Tetsuro Mori 於 1969 年首先提出。它是機構學(Mechanism)或機械學(Mechanics) 的前五個字母與電子學(Electronics)的後七個字母合併組成。而在日本稱為電子 機械學 (Electro-mechanics);在我國翻譯為機電整合學或機電工程學;對岸的中 國則稱之為機電一體。機電整合,顧名思義,就是結合機械與電機兩個領域之知 識及技術。 二、機電整合技術對機器的影響(陳雙源、古碧源、黃榮堂、龍仁光,2000) (一)憑藉機電整合技術,傳統機構可大幅簡化。 (二)機構的運動可經由電腦軟體變成可程式化。 (三)新的動作或性能,過去傳統機構無法達成者,藉由機電整合技術,皆有效 達成,且額外增加的成本相當有限,但整體價值大幅提高。

(3)

53 三、機電整合的發展 電子技術發展迅速,在機械設計的範疇中,純機械的設計已經不多了,從機 車、汽車、自動化設備到飛機。這些產品雖然仍以機械為主體,但在控制與感測 方面均大量地使用電子元件。對於機械工程師而言,電子元件帶來了許多便利, 使我們的設計領域更加擴大,許多傳統機械難以達成的功能,皆能以較低成本且 小體積的電子元件來達到目的。 劉倫偉(2008)指出機電整合技術約可分為四個不同時期之階段: (一)傳統機電整合階段:1970 年代的機電整合是由機構學(機械學)和電子學整合 而成,以應付自動門、自動販賣機、自動對焦照像機或攝影機等產品的技術 需求。 (二)電腦控制機電整合階段:到了 1980 年代,為了少量多樣產品的需求、提昇 產品品質、減少生產成本及降低人力需求,產業開始積極研發機器人 (Robotics)、自動導引車(AGV)、彈性製造系統(FMS)與電腦整合製造系統 (CIM)等自動化相關技術,此時電腦扮演更扮演控制中心的重要角色,並開 始引入資訊技術(Information Technology)。 (三)電腦控制網絡機電整合階段:到了 1990 年代,機電整合大量引入有線、無 線通訊、衛星定位、網路傳輸等技術,重點在於資訊系統的引進。 (四)多元機電整合階段:二十一世紀的機電整合技術更加著重多元化的專業技 術,主要包括引入光電技術的光機電領域(Opto mechatronics)、著重於微小化 的微機電系統技術(MEMS)奈米機電技術(NEMS)以及與生物產業息息相關 的生物機電工程(Bio mechatronics)等。 參、教學活動設計 登高必自卑,行遠必自邇。機電整合是一種整合各學門的應用技術,系統上 看似複雜,其實不然。分析其系統架構,就好像堆積木一般,由最簡單的方塊組 合,再一一堆疊而成,各個方塊各有其功能,而且也會相互影響,必須適當地應 用相關技術。

(4)

54 一、教學目標 (一)機械加工之基本知識與技能。 (二)電子元件之基本知識與銲接技能。 (三)電路分析與維修之基本知識與技能 (四)馬達控制之基本知識與應用技能。 (五)感測元件之基本知識與應用技能。 (六)訓練電子儀器操作及管理之技能。 (七)訓練機構設計與組裝之知識與技能。 (八)養成良好職業道德與安全工作習慣。 二、教學進度規劃 表 1 教學進度表 週次 教學進度 課程內涵 注意事項 一 機電整合系統架構簡述 基礎電路與工具使用複習 自走車實際運轉展示 引發學習動機 二 感測器介紹 感測器原理與種類 感測器的應用 三 紅外線感測器電路製作 紅外線感測原理 紅外線感測電路實習 四 控制器介紹 比較器的使用 555 振盪器原理 五 控制器電路製作 比較器電路實習 555 振盪器電路實習 六 致動器介紹 馬達的原理與應用 PWM 控速電路實習 七 電路銲接教學 電木板銲接練習 銲接要注意安全 八 電路銲接 控制電路製作 九 電路銲接 控制電路製作

(5)

55 十一 機構原理 機構設計原則 十二 機構組裝 機構加工與組裝 十三 機體與零組件組裝 機體組合與配線整理 使用鑽床要注意安全 十四 系統測試 系統除錯 十五 試車與調整 系統調整 十六 報告 分組簡介與報告 十七 舉辨自走競速競賽 系統反應與穩定考驗 準備奬品與奬狀 十八 自走車製作檢討 分析各組成果 三、自走車運作原理 紅外線 左邊限感測器 LM393 (電壓比較器) 邏輯判斷 CD4081 AND GATE LM555 PWM 馬達速度控制 電源電路 右馬達 驅動電路 紅外線 右邊限感測器 左馬達 驅動電路 圖 2 自走車系統架構 系統運作的重點不是在於複雜與新科技的應用,而是重在系統的可行性。自 走車功能為車子能辨識路線,追尋著黑線行走。它的運作原理為透過兩個紅外線 二極體發出信號和兩個紅外線二極體接收器進行感應地面顏色來識別路線,並將 信號傳送給控制器,自走車使用的控制器為一電壓比較 IC,它能判斷左方感測 器與右方感測器傳回的信號電壓大小,再將判別的結果輸出給馬達,利用左輪與 右輪的速度差,進而控制車子行進的方向。假設狀態如圖 3(a),當左方紅外線感 測到黑線時,會傳送信號給控制器,控制器經由判斷後,會使右馬達加速而左馬 達減速,車體自然左徧,當紅外線感測器感測不到黑線時,即再改變馬達的速度 如圖 3(b)所示,完成車體前進方向的修正。這是一個小型但完整的自動控制系統。

(6)

56 圖 3 (a)車體偏右時,修正向左 (b)車體直行 (c)車體偏左時,修正向右 四、機電整合系統相關知識 (一)機電整合系統架構 機電整合系統的架構大致可分為: 1. 感測器(Sensors):感測物理變化再轉換成類比或數位信號輸出的裝置。 2. 控制器(Controller):邏輯電路與微處理機或計算機軟體與硬體。 3. 致動器(Actuators):藉由電氣或液氣壓動力源,致使機構動作的裝置。 4. 機構(Mechanism):傳遞或變換運動的組合體。 (二) 感測器原理與應用 1.感測器與生活 在現代化的生活中,總是離不開感測器,或許你並沒有看到它的存在。但它 卻總是隱身在我們日常生活中。例如到便利商店,剛走到門口時,門就會自動開 啟,或是隨處可見的超速照相機,都是感測器應用在日常生活中的例子。人類生 活愈是方便,就會使用愈多的感測器。 2.紅外線感測器原理

紅外線發光二極體 (Infrared Radiation Light Emiting Diode)發射器能發射出 紅外線,碰到物體反彈或是直接被紅外線接收器接收,此時接收器兩端接點導 通,使接收器正端電壓降低。紅外線 LED 擁有省電、體積小、壽命長、反應速 度快、污染低、高可靠度、模組彈性大等優點。

(7)

57 紅外線發光二極體的外觀和一般發光二極體相同。在驅動上也與一般 LED 相同,須要加上限流電阻。不同的是發射出來的光源波長不同,因此無法以肉眼 查覺。紅外線發光二極體能發射紅外線,波長約 940nm 左右,順向偏壓 1.2V, 一般順向電流最大值約 50mA 至 150mA。紅外線感測器即利用光線反射的原理, 黑色物體能吸收大部份的紅外線,只反射很少紅外光回來;而遇見白色物體時, 因白色物體能反射大部份紅外光,使紅外線接收二極體能感測紅外線,當紅外線 愈強時則紅外線接收二極體的導通電流愈強。 3.紅外線感測模組原理 模組化紅外線感測器的內部構造為一紅外線發光二極體與一光電晶體所組 成。當紅外線二極體發射出紅外光時,如果有光線反彈回來為光電晶體所吸收, 光電晶體便會導通;反之光電晶體便不會導通;而且感測的距離也不遠,最遠為 5 公分左右,故我們能利用紅外線感測器來黑色與白色場地。

圖 4 (a)紅外線感測模組 CNY70 (b)紅外線發射與接收 LED 表 2 紅外線 LED 工作測試

電源(V) 電阻(Ω) VLED(V) I(mA) PLED(mW)

5 68 1.36 53.80 73.17 5 100 1.30 37.30 48.49 5 220 1.21 17.30 20.93 (三)控制器原理與應用 1. LM393 比較器 LM393 是含有雙電壓比較器的積體電路。工作電源電壓範圍寬,單電源、

(8)

58 1234 VDD 1 2 3 4 5 6 7 VSS 14 13 12 11 10 9 8 雙電源均可工作,單電源:2~36V,雙電源:±1~±18V;消耗電流小,Icc=0.8mA; 輸出與 TTL,CMOS 等相容;輸出為開集極 NPN 輸出電晶體電路;LM393 是 高增益比較器,能比較輸入兩端的電壓大小,如果有未使用的接腳須要接地。在 電路中它是負責判斷左側與右側紅外線感測器送來的電壓大小,來決定右馬達與 左馬達的運轉,進而調整車體的運行方向。 1 2 3 4 8 7 6 5 VCC GND 圖 5 比較器 LM393 接腳圖 圖 6 (a)LM555 (b)LM393 (c)CD4081 2.邏輯電路 CD4081 為達到控制馬達速度的目的,故須使用一個邏輯閘 IC:CD4081,此邏輯 IC 能作 AND 的運算, 它的功能是將比較器送出的信號與 555 送出的 PWM 信號做 AND 邏輯處理,進而達到控制馬達運轉與速度之功能。 表 3 CD4081 的真值表 圖 7 CD4081 接腳圖 (四)致動器原理與應用 1.直流電動機原理 直流電動機(DC Motor)的優點為價格便宜且在控制速度方面比較簡單,只須 控制電壓大小即可控制轉速。直流馬達的運轉原理是依據佛來明左手定則,當導 線置放於磁場內,若導線通上電流,則導線會切割磁場線使導線產生移動。電流 進入線圈產生磁場,利用電流的磁效應,使電磁鐵在固定的磁鐵內連續轉動的裝 X Y F 0 0 0 0 1 0 1 0 0 1 1 1

(9)

59 永磁式馬達 永久磁鐡 電刷 機殼 電樞 整流子 置,可以將電能轉換成動能。直流電動機的內部構造為永久磁鐵或電磁鐵、電刷、 整流子等元件。電刷和整流子將外部所供應的直流電源,持續地供應給轉子的線 圈,並適時地改變電流的方向,使轉子能依同一方向持續旋轉。 圖 8 直流電動機構造 2.直流電動機速度控制 (1) LM555 振盪器 555 的應用範圍很廣,但一般多應用於單穩態多諧振盪器及無穩態多 諧振盪器亦稱方波產生器(Astable Multivibrator)。它是利用電容與電阻充放電的 原理來產生方波,使用它可以輕易地產生 PWM 波形。 表 4 555 振盪器腳位功能說明 腳位 功能 說明 Pin1 接地(Ground) 接電源負極。 Pin2

觸發(Trigger) 當 Pin2 之電壓低於 1/3Vcc 時,會令 Output 輸出高

電位及 Pin7 對地開路。

Pin3 輸出(Output) 由 Pin2、4、6 控制輸出腳為高電位或低電位。

Pin4 重置(Reset) Pin4 之電壓小於 0.4V 時,則 Output 之輸出為低電 位、Pin7 對地短路。所以不使用 Pin4 時,應接於 1V 以上之電壓。 Pin5 控制電壓 (Control Voltage) Pin5 直接與比較器的參考電壓相通,充許由外界 電路改變 Pin2、Pin6 之動作電壓。平時多接一個 0.01μF 以上之電容到 Ground,以避免雜訊干擾。

(10)

60 Pin6

臨界(Threshold) Pin6 之電壓高於 2/3Vcc 時,會使 Output 低電位、

Pin7 對地短路。 Pin7

放電(Discharge) 與 Output 同步動作。當 Output 輸出高電位時,Pin7

對地開路;Output 輸出低電位時,Pin7 對地短路。

Pin8 (+Vcc):正電壓輸入 最大可至 15V。

(2) 脈衝寬度調變 (PWM:Pulse Width Modulation)

PWM 是一種利用脈波控制轉速的方法,當輸入馬達的電源為 high 時,馬達 受到電壓供應而轉動;當輸入為 low 時,則沒有電供應給馬達,此時馬達藉著本 身的慣性轉動,轉速會逐漸減慢最後停止。因此 PWM 是一種利用電壓 high、low 的切換來控制馬達轉速的方法。所以脈波週期必須是固定,然後再藉由改變脈波 的波形寬度比(Duty Cycle:脈波寬度與週期之比)達到改變速度的目的。當波形 寬度比發生變化時,供給馬達的平均電流發生變化,於是轉速即產生改變。即改 變工作週期大小,使整體平均電壓值上升或下降,藉此調控電壓及功率切換以節 省能源及控制馬達速度等效果(陳家康,2006)。 因此固定的週期下,改變不同的責任週期(Duty Cycle),即可控制平均電壓 的輸出,輸出電壓 Vout 與責任週期的關係式為: out V = on V =s on=DVs on off t t ttT

其中 ton為功率晶體導通時間(sec)、toff為功率晶體關閉時間(sec)、Vs 為電源電壓

(11)

61

555

8 2 4 7 3 1 5 C2 0.1uF 6 C3 0.01uF DC 6V R6 1k D3 x 2 1N4148 PWM VR2 100k R7 100k 充電路徑 放電路徑 Duty=80% 80 20 (a) (b) (c) t t t v Duty=60% Duty=40% ON Off 圖 9 不同責任週期的 PWM 輸出信號圖 馬達的功率消耗為輸入電壓與電流的乘積成正比。圖 9 顯示了三種不同的 PWM 信號。圖 9(a)是一個責任週期為 80%的 PWM 輸出信號,即在信號周期中, 有 80%的時間導通,其餘的 20%時間截止。假設電源為 5V,當責任週期為 80% 的 PWM 信號輸出,則對應的是一個幅度為 4V 的電壓信號。而圖 9(b)與圖 9(c) 則分別是責任週期為 60%與 40%的 PWM 輸出信號 圖 10 可調整 Duty Cycle 的方波產生器 圖 11 PWM 波形 3.直流電動機規格 表 5 直流電動機規格表 型號 直徑 身長 額定電壓 額定電流 起動電壓 額定轉速 連接方式 Driver Motor Diameter Body Length Rated Voltage Rated Current Starting Voltage Rated Speed Type

(12)

62 小齒輪 (Pinion gear) 8T 二階齒輪 (2 Step gear) 36T/12T 冠狀齒輪 (Crown gear) 34T/12T 終傳齒輪 (Final gear) 36T mm mm V mA(max) V(max) rpm mm FA-130 φ20 25 1.5 300 1 9000±2000 PIN (五)機構原理與應用 機構是由兩個以上之機械元件所組成的個體,並且各個機械元件間有進行著 規律與可預期之相對運動。機構是用來傳遞或變換運動的組合體。齒輪為一帶有 齒形的機械元件,單一齒輪無法發揮功用,必須有兩個以上的齒輪相嚙合,才能 相互作動。顏鴻森(1999)對齒輪機構的定義為:「齒輪與齒輪之間能夠藉由適當 的齒形而相互嚙合,藉由滾動與滑動的接觸,維持固定輸入輸出的轉速比,用以 達到傳遞兩軸運動或動力。」齒輪的兩大功用為傳遞兩軸的運動方向與動力。 1.減速機構 減速機是一種動力傳遞機構,利用齒輪的速度轉換,將致動器輸出之轉速降 低至所需之轉速,並得到較大轉矩之機構。複式定心輪系:在一輪系中,一軸有 兩個以上的輪,連在一起廻轉即稱之。齒輪組為一般常用之減速裝置,設計簡單, 兩個相咬合的齒輪依齒數不同,可以分為大齒輪(gear)和小齒輪(pinion)。兩個齒 輪咬合時,兩個齒輪的旋轉方向相反,假設其齒和齒之間無滑動現象時,在兩齒 輪接觸點的切線速度一定是相等的。 圖 12 減速機構的複式定心輪系  末輪之轉速 主動輪齒數的連乘積 e(輪系值)= = 末輪之轉速=輪系值 首輪之轉速 首輪之轉速 從動輪齒數的連乘積 e= =   e   Nf Ta Tc Te Nf= Na Na Tb Td Tf 若|e|>1 為增速輪系,而|e|<1 為減速輪系。 輪系值又稱齒數比、節徑比、轉速比。

(13)

63 冠狀齒輪 34T/12T 二階齒輪 36T/12T 終傳齒輪 36T FA-130 電動機 傳動軸 滾珠式萬向轉向座 36mm 車輪 減速齒輪箱 使用電工蓋板與 銅柱組合的機體 (須以鑽床加工) 小齒輪 8T 因此計算自走車減速機的      8 12 12 1 e(輪系值)= 34 36 36 38.25 使用的電動機 FA-130 之轉速為 9000rpm,故車輪之轉速為9000 1 =235(rpm) 38.25 圖 13 減速齒輪箱 圖 14 齒輪 2. 滾珠式萬向轉向座 自走車的運行是使用兩個車輪的運轉來達成的,為了保持機體的平衡,故須 使用一組滾珠式萬向轉向座來保持機體的平衡運行,滾珠式萬向轉向座是由一顆 鋼珠與三個機械軸組成,可以 360 度自由迴轉或有一定的方向控制時使用,具有 摩擦係數少並且能長行程的運動特徵。 (六)機體組裝與電路 圖 15 機體組裝元件

(14)

64 R2 68 R3 4.7M R2 68 R3 4.7M VR1 2M VR1 2M 5 6 7 3 2 1 8 4 DC 6V Motor R5 150 C2 0.1uF 3 1 2 4 6 R4 10K R4 10K 14 7 PWM IR TX IR RX SW LM393 CD4081 R1 220 3Φ LED D1 1N4001 C1 220uF /16v 5 8 、9 、12 、13 connected to GND Q1 8050 D2 1N4148 IR TX IR RX R-LED R5 150 C2 0.1uF Q1 8050 D2 1N4148 R-LED Motor R6 1K R6 1K 圖 16 自走車系統電路 圖 17 自走車完成圖(a)側視圖 (b)仰視圖 肆、教學設備與使用材料 教學活動需使用以下工具設備與材料,提供給教師與學生參考。 表 6 工具設備表 工具名稱 規格 備註 電源供應器 可調式 0~30V/3A 可使用固定 6V 變壓器代替 示波器 20MHz PWM 波形觀察 小型立式鑽床 鑽孔能力 3mm ( 1/8"" ) 使用時要注意安全 鑽針 2mm DC 電源插座配置 鑽針 3mm 機構組合

(15)

65 麵包板 3P 電路實習 十字起子 #2*75mm 機構調整 十字起子 #0*75mm 機構組合 電烙鐵 30W 功率不可大於 60W 序號 元件 規格 數量 序號 元件 規格 數量 1 IC LM 555 2 22 電解電容 10μF 1 2 IC LM393 1 23 電解電容 220μF 1 3 IC CD4071 1 24 電晶體 8550 4 4 IC 腳座 8P 3 25 電晶體 8055 1 5 IC 腳座 14P 1 26 二極體 1N4001 2 6 可變電阻 100K 1 27 二極體 1N4148 4 7 精密半固定電阻 2M 2 28 莫士端子公座 2P 4 8 1/4W 電阻 4.7M 2 29 莫士端子公座 4P 2 9 1/4W 電阻 100k 3 30 莫士端子母頭附線 2P(單頭) 4 10 1/4W 電阻 10K 2 31 莫士端子母頭附線 4P(雙頭) 1 11 1/4W 電阻 1K 1 32 莫士端子 2.54mm 10 12 1/4W 電阻 220 1 33 電木板 900 洞 1 13 1/4W 電阻 150 4 34 玻璃纖維板 900 洞 1 14 1/4W 電阻 68 2 35 焊柱 1φ 2 15 光敏電阻 5φ 1 36 3 號 6V 電池盒附開關 1 16 LED 3φ綠 2 37 螺母 10 17 LED 5φ紅 2 38 螺絲 M3-6mm 10 18 紅外線 LED 5φ發射 2 39 銅柱 1cm 2 19 紅外線 LED 5φ接收 2 40 銅柱 2cm 4

(16)

66 表 7 材料表(包含電木板練習元件) 伍、結論與建議 機電整合的學習是無窮盡的,此次製作的自走車僅是一個簡單的系統,特別 是在控制的部份,考量同學是學習整個機電整合的系統概念,並且尚未修習有關 單晶片控制的相關課程,因此只用簡單的比較電路來控制系統。單晶片電腦具備 「輕、短、小」的天賦異凜,受工業界青睞,許多家用電器產品的自動控制,可 發現單晶片微電腦,例如電視機及音響的遙控選台系統、冷氣機、電風扇、自動 販賣機、洗衣機、電腦用鍵盤等等,另外還有數不清的工業控制也是以單晶片微 電腦為控制中樞,單晶片微電腦的普遍性由此可知。一般傳統控制所不能達到的 控制,如感測訊號的擷取、複合環控策略,它皆能輕易的完成。近年來單晶片的 功能不斷加強,價格愈加便宜,利用單晶片的運算與記憶功能,此種專用型具有 微電腦功能之控制器日漸普及(沈德欽,2003)。以人來比喻系統,則控制器即相 當於人的大腦,大腦能控制人的行為,而控制器能主宰著整個機電系統的運行, 相同的硬體系統但使用不同的控制器與程式軟體,則能做出各種不同的功能。 因應機電整合控制的時代需求,國內技專院校機電、電機、電子、自動化等 相關科系都規劃有電機整合與控制課程,並且都將單晶片相關的課程列為必修科 目,足見單晶片課程的重要性。利用單晶片來設計控制系統是自動化發展的必然 趨勢,因此學習機電整合就必須要學好單晶片控制,此次製作自走車的感測器、 機構、驅動器馬達在學習單晶片控制時均可再利用。圖 18(a)是由龍華科技大學 所開發的 F1 智慧型競速自走車,使用的控制器是 PIC 晶片,圖 18(b)是由南亞技 術學院機械系所開發的甲蟲獸自走車,使用的控制器是 89s51 晶片,均是非常簡 單易學的機電整合實習教材。 20 陶瓷電容 0.01μF 1 41 銅柱 2.5cm 4 21 陶瓷電容 0.1μF 4 42 插板式 DC 插座 2.5mm 1

(17)

67 圖 18 (a)F1 智慧型競速自走車 (b)甲蟲獸自走車 在教學設計上盡可能採用便宜且買得到的基本零件來組合系統。讓每位同學 都能夠有實作的機會,並且舉辦競賽來激發同學的學習動機與榮譽心。市面上處 處為同學設想周到的教材,不見得可以學到更多東西。嘗試、摸索、帶著問題來 學習。遇到問題時,能自己想辦法解決,才是最好的學習方式。學習要由簡單的 概念開始,完成自走車之時,會很有成就感,並且能明白系統的基本架構。有興 趣時再繼續進修學習,實現自己的想法,踏出終身學習的第一步。 圖 19 舉辨自走車競賽 陸、參考文獻 沈德欽(2003)。單晶片原理與應用。收錄於林達德、李桂芝主編,機電整合 (頁 161-166)。台北:國立台灣大學生物產業機電工程學系。 陳家康(2006)。全方位運動機器人之設計與製作。國立雲林科技大學電機工 程系碩士論文,未出版,雲林縣。

(18)

68 陳雙源、古碧源、黃榮堂、龍仁光(2000 )。機電整合導論。台北:東華書局。 鄒應嶼(1998 年 5 月 28 日)。培育機電整合技術人才刻不容緩。工商時報, 第 18 版。 劉倫偉(2008)。工學院機電學程。國立臺灣海洋大學工學院院訊,2(3)。頁 6。 盧福明(2000)。國內大學農機科系轉型簡介。台灣農業機械雙月刊,15(4), 頁 5。 顏鴻森(1999)。機構學。台北:東華書局。

參考文獻

相關文件

結構化程式設計 是設計一個程式的一個技巧,此技巧就

、機構應於受理之日起三十日內通知其復職,留職停薪人員應於服 務之學校、機構通知之日起,三十日內復職報到;其未申請提前復

由三位選手共同集體創作一套事先公開且具創新功能之機械

„ Complex Instruction Set Computers (CISC). „ complicated

4、設施工程類:污水處理廠、礫 間處理設施、焚化廠、環境工 程設備設施組裝系統、電業設

學校危機處理小組的事前準備 附件 1:支援服務機構電話一覽表 附件

依法設立身心障礙者職業訓練機構或依法設立機構、團體或學 校接受政府委託辦理身心障礙者職業訓練者。.. (四)

微算機原理與應用 第6